Errata Sheet for Arria II GX Devices

Size: px
Start display at page:

Download "Errata Sheet for Arria II GX Devices"

Transcription

1 Errata Sheet or rria II GX evices ES Errata Sheet This errata sheet provides updated inormation about known device issues aecting rria II GX devices. Table 1 lists the speciic issues and which rria II GX devices are aected. Table 1. Issues or rria II GX evices (Part 1 o 2) Issue ected evices Planned Fix ECC False Error The error detection CC (SEU detection) eature may alsely assert the CC_EO signal when no SEU event has occurred. ll production devices PLL phasedone Signal Stuck at Low In some cases, the rria II GX phase-locked loop (PLL) blocks exhibit the phasedone signal stuck at low during the PLL dynamic phase shit. Transmitter PLL Lock (pll_locked) Status Signal The transmitter PLL lock status signal (pll_locked) does not de-assert when the pll_powerdown signal is asserted in conigurations that use the reerence clock pre-divider o 2, 4, or 8. ynamic econiguration Issue Between PCIe Mode and ny Other Transceiver Mode The transceiver may not be initialized correctly i your application uses dynamic reconiguration to change the transceiver channel between PCI Express (PCIe ) mode and any other transceiver mode. Quartus II Sotware Incorrect Setting or the Transceiver C in ll Modes Except PCIe Mode The Quartus II sotware incorrectly sets the C unit when the transceiver channel is conigured in any mode except PCIe mode and you conigure the C to automatic lock mode. External Memory Interace LL Frequency ange Update New MIN or the LL requency range and a new requency mode 6. Quartus II Mapping Issue with PCIe Interaces Using the Hard IP Block The Quartus II sotware incorrectly maps the PCIe interaces when using the hard IP block. ll production devices ll production devices ll rria II GX (ES and Production) evices ll rria II GX (ES and Production) evices ll rria II GX (ES and Production) devices ll rria II GX (ES and production) devices Quartus II sotware version 12.0 and later. No plan to ix silicon. For a sot-ix solution, reer to Transmitter PLL Lock (pll_locked) Status Signal. No plan to ix silicon. pply the reset workaround in ynamic econiguration Issue Between PCIe Mode and ny Other Transceiver Mode. Quartus II sotware version 10.1 and later. Patches are available or the Quartus II sotware versions 9.1SP2 and 10.0SP1. Sotware ix Sotware ix 101 Innovation rive San Jose, C ltera Corporation. ll rights reserved. LTE, I, CYCLONE, HCOPY, MX, MEGCOE, NIOS, QUTUS and STTIX words and logos are trademarks o ltera Corporation and registered in the U.S. Patent and Trademark Oice and in other countries. ll other words and logos identiied as trademarks or service marks are the property o their respective holders as described at ltera warrants perormance o its semiconductor products to current speciications in accordance with ltera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. ltera assumes no responsibility or liability arising out o the application or use o any inormation, product, or service described herein except as expressly agreed to in writing by ltera. ltera customers are advised to obtain the latest version o device speciications beore relying on any published inormation and beore placing orders or products or services. ISO 9001:2008 egistered pril 2013 ltera Corporation Subscribe

2 Page 2 ECC False Error Table 1. Issues or rria II GX evices (Part 2 o 2) XUI State Machine Failure Channel 0 Shited by One Cycle Channel 0 data is shited by one cycle with respect to Channels 1, 2, and 3. ECC False Error Issue ected evices Planned Fix High I/O Pin Leakage Current ll I/O pins have higher leakage than the published evice atasheet or rria II evices chapter, version 1.2 speciications. Error etection CC Feature When enabled, the Error etection CC eature may cause the MLB M blocks to operate incorrectly. M9 M Block Lock-Up The M9 M blocks may lock up due to a glitchy non-pll clock. utomatic Clock Switchover The automatic clock switchover eature may not operate correctly. emote System Upgrade The remote system upgrade eature ails when loading an invalid coniguration image. EP2GX125 ES EP2GX125 ES EP2GX125 ES EP2GX125 ES EP2GX125 ES ll rria II GX (ES and Production) evices The error detection cyclic redundancy check (CC) (single event upset [SEU] detection) eature may alsely assert the CC_EO signal when no SEU event has occurred. This happens because the coniguration M is incorrectly read or the ECC checks. In this scenario, the coniguration M data and the unctionality o the device are not aected. I ECC is not critical to your system, turn it o. I ECC is required, insert a sot IP in your design. Production devices Production devices EP2GX125 production devices EP2GX125 production devices None Sotware ix For more support and to request the sot IP, ile a service request using mysupport. PLL phasedone Signal Stuck at Low Solution In some cases, the rria II GX PLL blocks exhibit the phasedone signal stuck at low during the PLL dynamic phase shit. When the PLL phasedone signal is stuck at low, the intended phase shit does not happen. You can recover rom the PLL phasedone signal being stuck at low by resetting the PLL or by restarting the phase shit operation by asserting the phasestep signal. To resolve the PLL phasedone signal stuck at low issue, the ltera PLL megaunction is enhanced to automatically restart the phase shit operation internally in the ltera PLL megaunction whenever the PLL phasedone signal is stuck at low. estarting the phase shit operation compensates or the missing phase shit operation and also recovers the phasedone signal. pril 2013 ltera Corporation Errata Sheet or rria II GX evices

3 Transmitter PLL Lock (pll_locked) Status Signal Page 3 This ltera PLL megaunction solution will be implemented in the Quartus II sotware version 12.0 and later. ltera recommends upgrading to the latest Quartus II sotware, regenerating the PLL megaunction, and recompiling your design. dditionally, sotware patches are available or the Quartus II sotware versions 9.1 SP2 and 10.1 SP1 to upgrade the PLL megaunction with the solution. To download and install the Quartus II sotware patch, reer to the PLL Phasedone Stuck at Low Solution. I you need additional support, ile a service request using mysupport. Transmitter PLL Lock (pll_locked) Status Signal The transmitter phase-locked loop (PLL) lock status signal (pll_locked) does not de-assert when the pll_powerdown signal is asserted in conigurations that use the reerence clock pre-divider o 2, 4, or 8. Figure 1 shows the reerence clock pre-divider inside transmitter PLLs. This issue impacts the pll_locked status signal in the clock multiplier unit (CMU) PLL. Figure 1. eerence Clock Pre-ividers in Transmitter PLLs CMU PLL Lock etect pll_locked /M Input eerence Clock /1, /2, /4, /8 Charge Pump PF + Loop Filter VCO /L CMU0 High-Speed Clock eerence Clock Pre-ivider esigns that implement the recommended transceiver reset sequence described in the eset Control and Power own in rria II evices chapter in volume 2 o the rria II evice Handbook could potentially see a link ailure ater coming out o reset. Errata Sheet or rria II GX evices pril 2013 ltera Corporation

4 Page 4 Transmitter PLL Lock (pll_locked) Status Signal You can determine i the Transmitter PLL in your design uses a reerence clock pre-divider o 2, 4, or 8 by reerring to the Quartus II sotware Compilation eport. Figure 2 shows an example o the GXB Transmitter PLL report, which you ind in the esources Section under Fitter in the Compilation eport. I the value in the ivide By column reads 2, 4, or 8, your design is impacted by the pll_locked status signal issue. Figure 2. etermining eerence Clock Pre-ivider Value in the Compilation eport Workaround I the pll_locked issue impacts your design, instantiate and connect the pll_locked_sot_logic module, as shown in Figure 3. You must use the pll_locked_to_corelogic output rom this module in the transceiver reset logic and any user logic that relies on the transmitter PLL lock status signal. Figure 3. Instantiating and Connecting the pll_locked_sot_logic Module serdes_io top_cal_blk_clk cal_blk_clk pll_locked[0..0] top_pll_inclk xcvr_async_reset system_clk xcvr_reset_logic xcvr_async_reset clk pll_locked inst3 pll_powerdown tx_digitalreset pll_inclk pll_powerdown[0..0] tx_datain[39..0] tx_datain[39..0] tx_digitalreset[0..0] inst tx_clkout[0..0] tx_dataout[0..0] top_tx_dataout pll_locked_sot_logic clk pll_locked_to_corelogic reset pll_locked_rom_altgx inst2 Click pll_locked_sot_logic to obtain the module. pril 2013 ltera Corporation Errata Sheet or rria II GX evices

5 ynamic econiguration Issue Between PCIe Mode and ny Other Transceiver Mode Page 5 Use the calibration block clock (cal_blk_clk) or the pll_locked_sot_logic module. The cal_blk_clk requency speciication ranges rom 10 MHz to 125 MHz. epending on your cal_blk_clk requency, set the parameter p_delay_counter in the pll_locked_sot_logic so that the delay is equal to 100 s (worst-case transmitter PLL lock time). ynamic econiguration Issue Between PCIe Mode and ny Other Transceiver Mode I your application uses dynamic reconiguration to change the transceiver channel between PCIe mode and any other transceiver mode, the transceiver may not be initialized correctly, resulting in receiver bit errors. 1 This problem only aects dynamic reconiguration between PCIe mode and any other transceiver mode. ynamic reconiguration between any transceiver modes other than PCIe mode is not aected. Workaround I you see bit errors, apply the reset sequence described in the eset Sequence Solution. I you need additional support, ile a service request at ltera's mysupport. Quartus II Sotware Incorrect Setting or the Transceiver C in ll Modes Except PCIe Mode The Quartus II sotware versions up to and including 10.0 SP1 incorrectly set the clock and data recovery (C) unit when the transceiver channel is conigured in any mode except PCIe mode and the C is conigured in automatic lock mode. When there are no data transitions on the transceiver data inputs or an extended period o time (in the ms range), the C may keep the rx_reqlocked signal asserted. The C does not return to the lock-to-reerence state and incorrect data may be recovered. 1 The transceiver channels conigured in PCIe mode are NOT aected by this issue. Solution This issue is ixed in the Quartus II sotware versions 10.1 and later. ltera recommends upgrading to the latest Quartus II sotware and recompiling your design. For complete details o the solution, reer to the Transceiver C Solution. dditionally, sotware patches are available or the Quartus II sotware versions 9.1 SP2 and 10.0 SP1. To download and install the patch, reer to the Transceiver C Solution. Errata Sheet or rria II GX evices pril 2013 ltera Corporation

6 Page 6 External Memory Interace LL Frequency ange Update 1 I your transceiver channels are conigured to use rx_signaldetect with the C in automatic lock mode, you must apply the reset sequence described in the Transceiver C Solution. I you need additional support, ile a service request at ltera's mysupport. External Memory Interace LL Frequency ange Update Table 3. ecompilation Condition (1) Megaunction/ IP Core The rria II GX delay-locked loop (LL) range has been updated in the Quartus II sotware version 10.0 SP1 and later. Table 2 lists the updated LL requency ranges. Table 2. rria II GX LL Frequency ange Frequency Frequency ange (MHz) Mode C4 I3, C5, I5 C Table 3 lists the conditions and designs that are aected by these changes. esigns that all into these categories must be recompiled in the Quartus II sotware version 10.0 SP1 and later. For example, a 2 SM LTMEMPHY design with a memory clock running within the 150 MHz to 170 MHz requency range requires recompilation. ll designs using the LTLL megaunction require recompilation regardless o the requency and memory standard. Memory Standard 100 MHz to 120 MHz Memory Clock Frequency ange 150 MHz to 170 MHz 180 MHz to 201 MHz 220 MHz to 250 MHz 270 MHz to 300 MHz LTMEMPHY 2 SM No Yes Yes Yes Yes SM Yes Yes Yes No No UniPHY QII/II+ SM Yes Yes Yes Yes No LTLL ll Conditions Note to Table 3: (1) : Yes - ecompilation is needed pril 2013 ltera Corporation Errata Sheet or rria II GX evices

7 Quartus II Mapping Issue with PCIe Interaces Using the Hard IP Block Page 7 I any invalid LL coniguration critical warning appears ater recompilation in the Quartus II sotware version 10.0 SP1 and later, as shown in Example 1, you must regenerate the megaunction or the IP core and recompile the design. Example 1. Invalid LL Coniguration Critical Warning Critical Warning: LL atom ddr2_230:ddr2_230_inst ddr2_230_controller_phy:ddr2_230_controller_phy_inst ddr2_230_phy:ddr2_230_phy_inst ddr2_230_phy_alt_mem_phy:ddr2_230_phy_alt _mem_phy_inst ddr2_230_phy_alt_mem_phy_clk_reset:clk dll is using a clock period o 4.35 ns, which is outside the valid range or its coniguration mode. When the delay buer mode is LOW and the delay chain length is "8", the valid range is rom 4.55 ns to 5.88 ns. Quartus II Mapping Issue with PCIe Interaces Using the Hard IP Block The Quartus II sotware versions 9.1, 9.1 SP1, and 9.1 SP2 incorrectly allow logical channel 0 to be placed in any physical channel or x1 and x4 PCIe Gen1 interaces with the hard IP block. For correct operation with the hard IP block, logical channel 0 must be placed in physical channel 0. This issue is ixed in the Quartus II sotware version 10.0; however, ltera recommends upgrading to the Quartus II sotware version 10.0 SP1. I you have already designed or abricated your boards using the incorrect mapping, ile a service request using mysupport.altera.com to remedy this problem. High I/O Pin Leakage Current I/O pins on rria II ES devices have a higher leakage current than what is speciied in the rria II GX ata Sheet version 1.2. For rria II GX ES device I/O pin leakage current or all I/O pins, reer to Table 4. Table 4. I/O Pin Leakage Current or rria II GX ES evices Symbol escription Conditions Min Type Max Unit I I Input pin V I = 0V to V CCIOMX I OZ Tri-stated I/O Pin V O = 0V to V CCIOMX ll rria II GX production devices will have a lower leakage current. For production device speciications, reer to the evice atasheet or rria II GX evices chapter in volume 3 o the rria II GX evice Handbook. Errata Sheet or rria II GX evices pril 2013 ltera Corporation

8 Page 8 XUI State Machine Failure Channel 0 Shited by One Cycle XUI State Machine Failure Channel 0 Shited by One Cycle Figure 4. ate Matcher FIFO Skew Correct Channel lignment In XUI unctional mode, the data out o the channel 0 ate Match FIFO may be shited by one byte with respect to the data o the other three channels. This causes incorrect idle ordered set conversion, resulting in incorrect received parallel data. This issue happens only during initialization or receiver channel reset (assertion o rx_analogreset or rx_digitalreset). Figure 4 shows the channel skew. Master channel or XUI Protocol Purposes channel 0 channel 1 channel 2 channel 3 S T Skewed Channel 0 Master channel or XUI Protocol Purposes channel 0 channel 1 channel 2 channel 3 S T S = Start o packet T = End o packet = ata packet = lignment character = Lane Synchronization character = Clock ate Compensation character Workaround ltera provides a sot IP solution and associated documentation, available or download at: This sot IP should be integrated into the XUI receiver data path. This issue is ixed in production devices. Error etection CC Feature The Error etection CC eature is typically used to detect single event upsets (SEUs). When enabled, the Error etection CC eature may cause the memory logic array block (MLB) M to operate incorrectly in rria II GX ES devices. Only write operations in the MLB M blocks are aected. 1 The Error etection CC eature and CC error lag operate correctly. FPG coniguration bits are not aected by this issue. I you do not use Error etection CC, no action is required. The MLB M blocks will operate correctly. I you enable Error etection CC, disabling the Error etection CC resolves the problem. lso, using M9 M blocks or Logic Cells (LCs) instead o MLB M blocks resolves the problem. This issue will be ixed in production devices. pril 2013 ltera Corporation Errata Sheet or rria II GX evices

9 M9 M Block Lock-Up Page 9 M9 M Block Lock-Up Workarounds The M9 M blocks can lock up i the read clock glitches when rden=1, which can occur i the clock source is not rom a PLL. In this state, a M block no longer responds to read or write operations and requires an FPG reconiguration to restore operation. The issue occurs in the ead Timer Trigger circuitry, where a glitchy non-pll clock may inadvertently reeze the ead Timer Trigger circuitry, locking the M block in its last operation. ll M block modes are aected. MLBs are not aected. The workarounds or this issue are to add clock-enable logic, an internal PLL, or clock generation logic (or example, a clock divider). You can add clock-enable logic (internal or external) to disable the M block operation until the clock is stable. You can also gate the clock internally or externally. I your FPG resources permit, use an internal PLL or clock generation logic to ensure a stable clock source at the M block input. This issue will be ixed in production devices. utomatic Clock Switchover The automatic clock switchover eature may ail to operate correctly on rria II GX devices when the two clocks are running at dierent requencies. I both clocks are running at the same requency, there is no impact to your design. The ollowing modes are aected: utomatic utomatic with manual override You may observe two possible issues: Switchover rom inclk0 to inclk1, even though inclk0 is active (and vice-versa) clkbad[0,1] status signals may glitch, even i the input clocks are active 1 Manual clock switchover mode operates correctly and is not aected by this issue. There is no planned ix or this issue. emote System Upgrade The remote system upgrade eature does not operate correctly when you initiate a reconiguration cycle that goes rom a actory coniguration image to an invalid application coniguration image. In this scenario, the rria II GX device ails to revert back to the actory coniguration image ater a coniguration error is detected while loading the invalid application coniguration image. The ailure is indicated by a continuous toggling o the nsttus pin. In correct operation, the rria II GX device reverts back to the actory coniguration image ater a coniguration error is detected with the invalid coniguration image. Errata Sheet or rria II GX evices pril 2013 ltera Corporation

10 Page 10 ocument evision History 1 n invalid application coniguration image is classiied as one o the ollowing: partially programmed application image blank application image n application image assigned with a wrong start address The remote system upgrade eature works correctly with all other reconiguration trigger conditions. This issue is addressed by enabling the econig POF Checking eature in the updated LTEMOTE_UPTE megaunction and is available in the Quartus II sotware version 9.1 and later. For more inormation about how to enable the econig POF Checking eature, reer to N 603: ctive Serial emote System Upgrade eerence esign. ocument evision History Table 5. ocument evision History Table 5 lists the revision history or this errata sheet. ate Version Changes pril Updated the XUI State Machine Failure Channel 0 Shited by One Cycle section, per FogBugz # Minor text edits. pril dded the ECC False Error section. June dded the PLL phasedone Signal Stuck at Low section. September Updated the emote System Upgrade section. Minor text edits. February dded the Transmitter PLL Lock (pll_locked) Status Signal section. November dded the ynamic econiguration Issue Between PCIe Mode and ny Other Transceiver Mode and Quartus II Sotware Incorrect Setting or the Transceiver C in ll Modes Except PCIe Mode sections. Minor text edits. October dded the External Memory Interace LL Frequency ange Update section. September dded the Quartus II Mapping Issue with PCI Express (PCIe) Interaces Using the Hard IP Block section. pplied the new document template. ugust dded High I/O Pin Leakage Current and XUI State Machine Failure Channel 0 Shited by One Cycle sections. June Initial release. pril 2013 ltera Corporation Errata Sheet or rria II GX evices

Errata Sheet for Stratix IV GX Devices

Errata Sheet for Stratix IV GX Devices Errata Sheet for Stratix IV GX Devices ES-01022-6.1 Errata Sheet This errata sheet provides updated information about known device issues affecting Stratix IV GX devices. Production Device Issues for Stratix

More information

Errata Sheet for Stratix IV GT Devices

Errata Sheet for Stratix IV GT Devices Errata Sheet for Stratix IV GT Devices ES-01023-2.6 Errata Sheet This errata sheet provides updated information about known device issues affecting Stratix IV GT devices. Production Device Issues for Stratix

More information

Errata Sheet for Stratix IV GX Devices

Errata Sheet for Stratix IV GX Devices Errata Sheet for Stratix IV GX Devices ES-01022-5.7 Errata Sheet This errata sheet provides updated information about known device issues affecting Stratix IV GX devices. Production Devices for Stratix

More information

Errata Sheet for Cyclone IV Devices

Errata Sheet for Cyclone IV Devices Errata Sheet for Cyclone IV Devices ES-01027-2.3 Errata Sheet This errata sheet provides updated information on known device issues affecting Cyclone IV devices. Table 1 lists specific Cyclone IV issues,

More information

9. Reviewing Printed Circuit Board Schematics with the Quartus II Software

9. Reviewing Printed Circuit Board Schematics with the Quartus II Software November 2012 QII52019-12.1.0 9. Reviewing Printed Circuit Board Schematics with the Quartus II Sotware QII52019-12.1.0 This chapter provides guidelines or reviewing printed circuit board (PCB) schematics

More information

13. HardCopy Design Migration Guidelines

13. HardCopy Design Migration Guidelines November 2012 EMI_DG_012-2.2 13. HardCopy Design Migration Guidelines EMI_DG_012-2.2 This chapter discusses HardCopy migration guidelines or UniPHY-based designs. I you want to migrate your ALTMEMPHY-based

More information

7. External Memory Interfaces in Cyclone IV Devices

7. External Memory Interfaces in Cyclone IV Devices March 2016 CYIV-51007-2.6 7. External Memory Interaces in Cyclone IV Devices CYIV-51007-2.6 This chapter describes the memory interace pin support and the external memory interace eatures o Cyclone IV

More information

13. Power Management in Stratix IV Devices

13. Power Management in Stratix IV Devices February 2011 SIV51013-3.2 13. Power Management in Stratix IV Devices SIV51013-3.2 This chapter describes power management in Stratix IV devices. Stratix IV devices oer programmable power technology options

More information

Stratix FPGA Family. Table 1 shows these issues and which Stratix devices each issue affects. Table 1. Stratix Family Issues (Part 1 of 2)

Stratix FPGA Family. Table 1 shows these issues and which Stratix devices each issue affects. Table 1. Stratix Family Issues (Part 1 of 2) January 2007, ver. 3.1 Errata Sheet This errata sheet provides updated information on Stratix devices. This document addresses known issues and includes methods to work around the issues. Table 1 shows

More information

Errata Sheet for Cyclone V Devices

Errata Sheet for Cyclone V Devices Errata Sheet for Cyclone V Devices ES-1035-2.5 Errata Sheet Table 1. Device Issues (Part 1 of 2) This errata sheet provides information about known issues affecting Cyclone V devices. Table 1 lists the

More information

1. Cyclone IV FPGA Device Family Overview

1. Cyclone IV FPGA Device Family Overview May 2013 CYIV-51001-1.8 1. Cyclone IV FPGA Device Family Overview CYIV-51001-1.8 Altera s new Cyclone IV FPGA device amily extends the Cyclone FPGA series leadership in providing the market s lowest-cost,

More information

Stratix II FPGA Family

Stratix II FPGA Family October 2008, ver. 2.1 Errata Sheet Introduction This errata sheet provides updated information on Stratix II devices. This document addresses known device issues and includes methods to work around the

More information

Introduction. Synchronous vs. Asynchronous Memory. Converting Memory from Asynchronous to Synchronous for Stratix & Stratix GX Designs

Introduction. Synchronous vs. Asynchronous Memory. Converting Memory from Asynchronous to Synchronous for Stratix & Stratix GX Designs Converting from synchronous to Synchronous for Stratix & Stratix GX esigns November 2002, ver. 2.0 pplication Note 210 Introduction The Stratix TM and Stratix GX device families provide a unique memory

More information

Using the Transceiver Reconfiguration Controller for Dynamic Reconfiguration in Arria V and Cyclone V Devices

Using the Transceiver Reconfiguration Controller for Dynamic Reconfiguration in Arria V and Cyclone V Devices Using the Transceiver Reconfiguration Controller for Dynamic Reconfiguration in Arria V and Cyclone V Devices Subscribe Feedback The Altera Transceiver Reconfiguration Controller dynamically reconfigures

More information

DDR & DDR2 SDRAM Controller Compiler

DDR & DDR2 SDRAM Controller Compiler DDR & DDR2 SDRAM Controller Compiler May 2006, Compiler Version 3.3.1 Errata Sheet This document addresses known errata and documentation issues for the DDR and DDR2 SDRAM Controller Compiler version 3.3.1.

More information

Cyclone II FPGA Family

Cyclone II FPGA Family ES-030405-1.3 Errata Sheet Introduction This errata sheet provides updated information on Cyclone II devices. This document addresses known device issues and includes methods to work around the issues.

More information

Using the Nios II Configuration Controller Reference Designs

Using the Nios II Configuration Controller Reference Designs Using the Nios II Controller Reerence Designs AN-346-1.2 March 2009 Introduction This application note describes coniguration controller reerence designs or Nios II systems using Altera Stratix II, Cyclone

More information

2. Recommended Design Flow

2. Recommended Design Flow 2. Recommended Design Flow This chapter describes the Altera-recommended design low or successully implementing external memory interaces in Altera devices. Altera recommends that you create an example

More information

Implementing LED Drivers in MAX and MAX II Devices. Introduction. Commercial LED Driver Chips

Implementing LED Drivers in MAX and MAX II Devices. Introduction. Commercial LED Driver Chips Implementing LE rivers in MAX and MAX II evices October 2008 AN-286-2.3 Introduction iscrete LE driver chips are common on many system boards. Altera MAX II, MAX 7000B, MAX 7000A, MAX 3000A, and MAX 7000S

More information

DDR & DDR2 SDRAM Controller Compiler

DDR & DDR2 SDRAM Controller Compiler DDR & DDR2 SDRAM Controller Compiler march 2007, Compiler Version 7.0 Errata Sheet This document addresses known errata and documentation issues for the DDR and DDR2 SDRAM Controller Compiler version 7.0.

More information

RapidIO Physical Layer MegaCore Function

RapidIO Physical Layer MegaCore Function RapidIO Physical Layer MegaCore Function April 2005, MegaCore version 2.2.1 Errata Sheet Introduction This document addresses known errata and documentation changes for version 2.2.1 of the RapidIO Physical

More information

6. I/O Features for HardCopy III Devices

6. I/O Features for HardCopy III Devices 6. I/O Features or HardCopy III Devices January 2011 HIII51006-3.1 HIII51006-3.1 This chapter describes the I/O standards, eatures, termination schemes, and perormance supported in HardCopy III devices.

More information

DDR & DDR2 SDRAM Controller Compiler

DDR & DDR2 SDRAM Controller Compiler DDR & DDR2 SDRAM Controller Compiler August 2007, Compiler Version 7.1 Errata Sheet This document addresses known errata and documentation issues for the DDR and DDR2 SDRAM Controller Compiler version

More information

AN 558: Implementing Dynamic Reconfiguration in Arria II Devices

AN 558: Implementing Dynamic Reconfiguration in Arria II Devices AN 558: Implementing Dynamic Reconfiguration in Arria II Devices AN-558-3.8 Application Note This application note describes how to use the dynamic reconfiguration feature and why you may want use this

More information

POS-PHY Level 4 MegaCore Function

POS-PHY Level 4 MegaCore Function POS-PHY Level 4 MegaCore Function November 2004, MegaCore Version 2.2.2 Errata Sheet Introduction This document addresses known errata and documentation changes for version v2.2.2 of the POS-PHY Level

More information

AN 608: HST Jitter and BER Estimator Tool for Stratix IV GX and GT Devices

AN 608: HST Jitter and BER Estimator Tool for Stratix IV GX and GT Devices AN 608: HST Jitter and BER Estimator Tool or Stratix IV GX and GT Devices July 2010 AN-608-1.0 The high-speed communication link design toolkit (HST) jitter and bit error rate (BER) estimator tool is a

More information

Nios II Performance Benchmarks

Nios II Performance Benchmarks Subscribe Performance Benchmarks Overview This datasheet lists the performance and logic element (LE) usage for the Nios II Classic and Nios II Gen2 soft processor, and peripherals. Nios II is configurable

More information

7. High-Speed Differential Interfaces in the Cyclone III Device Family

7. High-Speed Differential Interfaces in the Cyclone III Device Family December 2011 CIII51008-4.0 7. High-Speed Dierential Interaces in the Cyclone III Device Family CIII51008-4.0 This chapter describes the high-speed dierential I/O eatures and resources in the Cyclone III

More information

9. SEU Mitigation in Cyclone IV Devices

9. SEU Mitigation in Cyclone IV Devices 9. SEU Mitigation in Cyclone IV Devices May 2013 CYIV-51009-1.3 CYIV-51009-1.3 This chapter describes the cyclical redundancy check (CRC) error detection feature in user mode and how to recover from soft

More information

RLDRAM II Controller MegaCore Function

RLDRAM II Controller MegaCore Function RLDRAM II Controller MegaCore Function November 2006, MegaCore Version 1.0.0 Errata Sheet This document addresses known errata and documentation issues for the RLDRAM II Controller MegaCore function version

More information

Using VCS with the Quartus II Software

Using VCS with the Quartus II Software Using VCS with the Quartus II Sotware December 2002, ver. 1.0 Application Note 239 Introduction As the design complexity o FPGAs continues to rise, veriication engineers are inding it increasingly diicult

More information

Altera I/O Phase-Locked Loop (Altera IOPLL) IP Core User Guide

Altera I/O Phase-Locked Loop (Altera IOPLL) IP Core User Guide 2015.05.04 Altera I/O Phase-Locked Loop (Altera IOPLL) IP Core User Guide UG-01155 Subscribe The Altera IOPLL megafunction IP core allows you to configure the settings of Arria 10 I/O PLL. Altera IOPLL

More information

AN 558: Implementing Dynamic Reconfiguration in Arria II Devices

AN 558: Implementing Dynamic Reconfiguration in Arria II Devices AN 558: Implementing Dynamic Reconfiguration in Arria II Devices AN-558-3.1 Application Note This application note describes how to use the dynamic reconfiguration feature and why you may want use this

More information

ALTDQ_DQS2 Megafunction User Guide

ALTDQ_DQS2 Megafunction User Guide ALTDQ_DQS2 Megafunction ALTDQ_DQS2 Megafunction 101 Innovation Drive San Jose, CA 95134 www.altera.com UG-01089-2.2 Feedback Subscribe 2013 Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE,

More information

High-Performance FPGA PLL Analysis with TimeQuest

High-Performance FPGA PLL Analysis with TimeQuest High-Performance FPGA PLL Analysis with TimeQuest August 2007, ver. 1.0 Application Note 471 Introduction f Phase-locked loops (PLLs) provide robust clock management and clock synthesis capabilities for

More information

Quartus II Software Version 10.0 SP1 Device Support

Quartus II Software Version 10.0 SP1 Device Support Quartus II Software Version 10.0 SP1 Device Support RN-01057 Release Notes This document provides late-breaking information about device support in the 10.0 SP1 version of the Altera Quartus II software.

More information

Intel Stratix 10 Clocking and PLL User Guide

Intel Stratix 10 Clocking and PLL User Guide Intel Stratix 10 Clocking and PLL User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. Intel Stratix 10 Clocking

More information

2. Design Planning with the Quartus II Software

2. Design Planning with the Quartus II Software November 2013 QII51016-13.1.0 2. Design Planning with the Quartus II Sotware QII51016-13.1.0 This chapter discusses key FPGA design planning considerations, provides recommendations, and describes various

More information

RapidIO MegaCore Function

RapidIO MegaCore Function March 2007, MegaCore Function Version 3.1.0 Errata Sheet This document addresses known errata and documentation issues for the Altera RapidIO MegaCore function version 3.1.0. Errata are functional defects

More information

Quartus II Software Version 12.0 Device Support Release Notes

Quartus II Software Version 12.0 Device Support Release Notes Quartus II Software Version 12.0 Device Support Release Notes RN-01074 This document provides late-breaking information about device support in the Altera Quartus II software version 12.0. For information

More information

4. TriMatrix Embedded Memory Blocks in HardCopy IV Devices

4. TriMatrix Embedded Memory Blocks in HardCopy IV Devices January 2011 HIV51004-2.2 4. TriMatrix Embedded Memory Blocks in HardCopy IV Devices HIV51004-2.2 This chapter describes TriMatrix memory blocks, modes, features, and design considerations in HardCopy

More information

13. Power Optimization

13. Power Optimization 13. Power Optimization May 2013 QII52016-13.0.0 QII52016-13.0.0 The Quartus II sotware oers power-driven compilation to ully optimize device power consumption. Power-driven compilation ocuses on reducing

More information

Recommended Protocol Configurations for Stratix IV GX FPGAs

Recommended Protocol Configurations for Stratix IV GX FPGAs Recommended Protocol s for Stratix IV GX FPGAs AN-577-3.0 Application Note The architecture of the Altera Stratix IV GX FPGA is designed to accommodate the widest range of protocol standards spread over

More information

Table 1 shows the issues that affect the FIR Compiler v7.1.

Table 1 shows the issues that affect the FIR Compiler v7.1. May 2007, Version 7.1 Errata Sheet This document addresses known errata and documentation issues for the Altera, v7.1. Errata are functional defects or errors, which may cause an Altera MegaCore function

More information

AN 610: Implementing Deterministic Latency for CPRI and OBSAI Protocols in Altera Devices

AN 610: Implementing Deterministic Latency for CPRI and OBSAI Protocols in Altera Devices AN 610: Implementing Deterministic Latency for CPRI and OBSAI Protocols in Altera Devices July 2010 AN-610-1.0 This application note describes how to implement deterministic latency for Common Public Radio

More information

6. I/O Features in the Cyclone III Device Family

6. I/O Features in the Cyclone III Device Family July 2012 CIII51007-3.4 6. I/O Features in the Cyclone III Device Family CIII51007-3.4 This chapter describes the I/O eatures oered in the Cyclone III device amily (Cyclone III and Cyclone III LS devices).

More information

RapidIO MegaCore Function

RapidIO MegaCore Function March 2007, MegaCore Function Version 3.1.1 Errata Sheet This document addresses known errata and documentation issues for the Altera RapidIO MegaCore function version 3.1.1. Errata are functional defects

More information

3. ALTGX_RECONFIG IP Core User Guide for Stratix IV Devices

3. ALTGX_RECONFIG IP Core User Guide for Stratix IV Devices July 2014 SIV53004-2014.07.09 3. ALTGX_RECONFIG IP Core User Guide for Stratix IV Devices SIV53004-2014.07.09 This document describes how to define and instantiate the ALTGX_RECONFIG IP core using the

More information

11. SEU Mitigation in Stratix IV Devices

11. SEU Mitigation in Stratix IV Devices 11. SEU Mitigation in Stratix IV Devices February 2011 SIV51011-3.2 SIV51011-3.2 This chapter describes how to use the error detection cyclical redundancy check (CRC) feature when a Stratix IV device is

More information

Intel MAX 10 Clocking and PLL User Guide

Intel MAX 10 Clocking and PLL User Guide Intel MAX 10 Clocking and PLL User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. Intel MAX 10 Clocking and PLL

More information

Nios II Embedded Design Suite 6.1 Release Notes

Nios II Embedded Design Suite 6.1 Release Notes December 2006, Version 6.1 Release Notes This document lists the release notes for the Nios II Embedded Design Suite (EDS) version 6.1. Table of Contents: New Features & Enhancements...2 Device & Host

More information

Intel MAX 10 Clocking and PLL User Guide

Intel MAX 10 Clocking and PLL User Guide Intel MAX 10 Clocking and PLL User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Intel MAX 10 Clocking and PLL

More information

DSP Development Kit, Stratix II Edition

DSP Development Kit, Stratix II Edition DSP Development Kit, Stratix II Edition August 2005, Development Kit version 1.1.0 Errata Sheet This document addresses known errata and documentation changes the DSP Development Kit, Stratix II Edition

More information

Arria II GX FPGA Development Kit HSMC Loopback Tests Rev 0.1

Arria II GX FPGA Development Kit HSMC Loopback Tests Rev 0.1 Arria II GX FPGA Development Kit HSMC Loopback Tests Rev 0.1 High Speed Design Team, San Diego Thursday, July 23, 2009 1 2009 Altera Corporation. All rights reserved. Altera, The Programmable Solutions

More information

MAX 10 User Flash Memory User Guide

MAX 10 User Flash Memory User Guide MAX 10 User Flash Memory User Guide Subscribe Last updated for Quartus Prime Design Suite: 16.0 UG-M10UFM 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Contents MAX 10 User Flash Memory

More information

Low Latency 40G Ethernet Example Design User Guide

Low Latency 40G Ethernet Example Design User Guide Low Latency 40G Ethernet Example Design User Guide Subscribe UG-20025 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Contents Quick Start Guide...1-1 Directory Structure... 1-2 Design Components...

More information

SerialLite III Streaming IP Core Design Example User Guide for Intel Arria 10 Devices

SerialLite III Streaming IP Core Design Example User Guide for Intel Arria 10 Devices IP Core Design Example User Guide for Intel Arria 10 Devices Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Quick Start

More information

Implementing 9.8G CPRI in Arria V GT and ST FPGAs

Implementing 9.8G CPRI in Arria V GT and ST FPGAs 03..06 AN 686 Subscribe This application note describes the implementation of 9.8304 Gbps Common Public Radio Interface (CPRI) using the Arria V GT and Arria V ST FPGA transceivers. The hard physical coding

More information

Altera I/O Phase-Locked Loop (Altera IOPLL) IP Core User Guide

Altera I/O Phase-Locked Loop (Altera IOPLL) IP Core User Guide Altera I/O Phase-Locked Loop (Altera IOPLL) IP Core User Guide UG-01155 2017.06.16 Last updated for Intel Quartus Prime Design Suite: 17.0 Subscribe Send Feedback Contents Contents...3 Device Family Support...

More information

Enhanced Configuration Devices

Enhanced Configuration Devices Enhanced Configuration Devices October 2007, Version 1.2 Errata Sheet Introduction Intel-Flash- Based EPC Device Protection f This errata sheet provides updated information on enhanced configuration devices

More information

Intel Stratix 10 Transceiver Usage

Intel Stratix 10 Transceiver Usage Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Transceiver Layout... 3 1.1 L-Tile and H-Tile Overview...4 1.1.1 PLLs...4

More information

9. Hot Socketing and Power-On Reset in Stratix IV Devices

9. Hot Socketing and Power-On Reset in Stratix IV Devices February 2011 SIV51009-3.2 9. Hot Socketing and Power-On Reset in Stratix IV Devices SIV51009-3.2 This chapter describes hot-socketing specifications, power-on reset (POR) requirements, and their implementation

More information

Phase-Locked Loop Reconfiguration (ALTPLL_RECONFIG) Megafunction

Phase-Locked Loop Reconfiguration (ALTPLL_RECONFIG) Megafunction Phase-Locked Loop Reconfiguration (ALTPLL_RECONFIG) Megafunction UG-032405-6.0 User Guide This user guide describes the features and behavior of the ALTPLL_RECONFIG megafunction that you can configure

More information

PCI Express Multi-Channel DMA Interface

PCI Express Multi-Channel DMA Interface 2014.12.15 UG-01160 Subscribe The PCI Express DMA Multi-Channel Controller Example Design provides multi-channel support for the Stratix V Avalon Memory-Mapped (Avalon-MM) DMA for PCI Express IP Core.

More information

SerialLite III Streaming IP Core Design Example User Guide for Intel Stratix 10 Devices

SerialLite III Streaming IP Core Design Example User Guide for Intel Stratix 10 Devices SerialLite III Streaming IP Core Design Example User Guide for Intel Stratix 10 Devices Updated for Intel Quartus Prime Design Suite: 17.1 Stratix 10 ES Editions Subscribe Send Feedback Latest document

More information

100G Interlaken MegaCore Function User Guide

100G Interlaken MegaCore Function User Guide 00G Interlaken MegaCore Function User Guide Subscribe UG-028 05.06.203 0 Innovation Drive San Jose, CA 9534 www.altera.com TOC-2 00G Interlaken MegaCore Function User Guide Contents About This MegaCore

More information

10. Introduction to UniPHY IP

10. Introduction to UniPHY IP 10. Introduction to Uni IP November 2012 EMI_RM_008-2.1 EMI_RM_008-2.1 The Altera,, and LP SDRAM controllers with Uni, QDR II and QDR II+ SRAM controllers with Uni, RLDRAM II controller with Uni, and RLDRAM

More information

Simulating the ASMI Block in Your Design

Simulating the ASMI Block in Your Design 2015.08.03 AN-720 Subscribe Supported Devices Overview You can simulate the ASMI block in your design for the following devices: Arria V, Arria V GZ, Arria 10 Cyclone V Stratix V In the Quartus II software,

More information

Configuration via Protocol (CvP) Implementation in Altera FPGAs User Guide

Configuration via Protocol (CvP) Implementation in Altera FPGAs User Guide Configuration via Protocol (CvP) Implementation in Altera FPGAs User Guide Subscribe UG-01101 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Configuration via Protocol (CvP) Implementation

More information

Configuration via Protocol (CvP) Implementation in Altera FPGAs User Guide

Configuration via Protocol (CvP) Implementation in Altera FPGAs User Guide Configuration via Protocol (CvP) Implementation in Altera FPGAs User Guide Configuration via Protocol (CvP) Implementation in Altera FPGAs User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com

More information

System Debugging Tools Overview

System Debugging Tools Overview 9 QII53027 Subscribe About Altera System Debugging Tools The Altera system debugging tools help you verify your FPGA designs. As your product requirements continue to increase in complexity, the time you

More information

Remote Update Intel FPGA IP User Guide

Remote Update Intel FPGA IP User Guide Remote Update Intel FPGA IP User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Latest document on the web: PDF HTML Contents Contents 1. Remote Update Intel FPGA IP User Guide... 3

More information

RapidIO MegaCore Function

RapidIO MegaCore Function RapidIO MegaCore Function October 2007, MegaCore Function Version 7.0 Errata Sheet This document addresses known errata and documentation issues for the Altera RapidIO MegaCore function version 7.0. Errata

More information

DSP Builder. DSP Builder v6.1 Issues. Error When Directory Pathname is a Network UNC Path

DSP Builder. DSP Builder v6.1 Issues. Error When Directory Pathname is a Network UNC Path March 2007, Version 6.1 Errata Sheet This document addresses known errata and documentation changes for DSP Builder version 6.1. Errata are functional defects or errors which may cause DSP Builder to deviate

More information

SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide

SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Software Version: 8.1 Document Version: 4.0 Document Date: November 2008 UG-MF9504-4.0

More information

Low Latency 100G Ethernet Design Example User Guide

Low Latency 100G Ethernet Design Example User Guide Low Latency 100G Ethernet Design Example User Guide Updated for Intel Quartus Prime Design Suite: 16.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Quick Start Guide...

More information

Nios II Embedded Design Suite 7.1 Release Notes

Nios II Embedded Design Suite 7.1 Release Notes Nios II Embedded Design Suite 7.1 Release Notes May 2007, Version 7.1 Release Notes This document contains release notes for the Nios II Embedded Design Suite (EDS) version 7.1. Table of Contents: New

More information

8. Introduction to UniPHY IP

8. Introduction to UniPHY IP 8. Introduction to Uni IP November 2011 EMI_RM_008-1.1 EMI_RM_008-1.1 The Altera and SDRAM controllers with Uni, QDR II and QDR II+ SRAM controllers with Uni, and RLDRAM II controller with Uni provide

More information

Intel FPGA Voltage Sensor IP Core User Guide

Intel FPGA Voltage Sensor IP Core User Guide Intel FPGA Voltage Sensor IP Core User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Intel FPGA Voltage Sensor

More information

AN 547: Putting the MAX II CPLD in Hibernation Mode to Achieve Zero Standby Current

AN 547: Putting the MAX II CPLD in Hibernation Mode to Achieve Zero Standby Current AN 547: Putting the MAX II CPLD in Hibernation Mode to Achieve Zero Standby Current January 2009 AN-547-10 Introduction To save power, the MAX II CPLD can be completely powered down into hibernation mode

More information

A Reset Control Apparatus for PLL Power-Up Sequence and Auto-Synchronization

A Reset Control Apparatus for PLL Power-Up Sequence and Auto-Synchronization DesignCon 2008 A Reset Control Apparatus for PLL Power-Up Sequence and Auto-Synchronization Kazi Asaduzzaman, Altera Corporation Tim Hoang, Altera Corporation Kang-Wei Lai, Altera Corporation Wanli Chang,

More information

Quad-Serial Configuration (EPCQ) Devices Datasheet

Quad-Serial Configuration (EPCQ) Devices Datasheet 2016.05.30 CF52012 Subscribe This datasheet describes quad-serial configuration (EPCQ) devices. EPCQ is an in-system programmable NOR flash memory. Supported Devices Table 1: Supported Altera EPCQ Devices

More information

Implementing LED Drivers in MAX Devices

Implementing LED Drivers in MAX Devices Implementing LE rivers in MAX evices ecember 2002, ver. 1.0 Application Note 286 Introduction Commercial LE river Chips iscrete light-emitting diode (LE) driver chips are common on many system boards.

More information

Table 1 shows the issues that affect the FIR Compiler, v6.1. Table 1. FIR Compiler, v6.1 Issues.

Table 1 shows the issues that affect the FIR Compiler, v6.1. Table 1. FIR Compiler, v6.1 Issues. December 2006, Version 6.1 Errata Sheet This document addresses known errata and documentation issues for the Altera FIR Compiler, v6.1. Errata are functional defects or errors, which may cause an Altera

More information

QDR II SRAM Board Design Guidelines

QDR II SRAM Board Design Guidelines 8 emi_dg_007 Subscribe The following topics provide guidelines for you to improve your system's signal integrity and layout guidelines to help successfully implement a QDR II or QDR II+ SRAM interface

More information

PCI Express Compiler. System Requirements. New Features & Enhancements

PCI Express Compiler. System Requirements. New Features & Enhancements April 2006, Compiler Version 2.1.0 Release Notes These release notes for the PCI Express Compiler version 2.1.0 contain the following information: System Requirements New Features & Enhancements Errata

More information

Video and Image Processing Suite

Video and Image Processing Suite Video and Image Processing Suite December 2006, Version 7.0 Errata Sheet This document addresses known errata and documentation issues for the MegaCore functions in the Video and Image Processing Suite,

More information

Intel Stratix 10 H-tile Hard IP for Ethernet Design Example User Guide

Intel Stratix 10 H-tile Hard IP for Ethernet Design Example User Guide Intel Stratix 10 H-tile Hard IP for Ethernet Design Example User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents

More information

10. SOPC Builder Component Development Walkthrough

10. SOPC Builder Component Development Walkthrough 10. SOPC Builder Component Development Walkthrough QII54007-9.0.0 Introduction This chapter describes the parts o a custom SOPC Builder component and guides you through the process o creating an example

More information

PowerPlay Early Power Estimator User Guide

PowerPlay Early Power Estimator User Guide PowerPlay Early Power Estimator 101 Innovation Drive San Jose, CA 95134 www.altera.com UG-01070-7.1 Feedback Subscribe 2012 Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE, HARDCOPY, MAX,

More information

Configuration via Protocol (CvP) Implementation in Altera FPGAs User Guide

Configuration via Protocol (CvP) Implementation in Altera FPGAs User Guide Configuration via Protocol (CvP) Implementation in Altera FPGAs User Guide Subscribe UG-01101 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Configuration via Protocol (CvP) Implementation

More information

Arria 10 Transceiver PHY User Guide

Arria 10 Transceiver PHY User Guide Arria 10 Transceiver PHY User Guide Subscribe UG-A10XCVR 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Arria 10 Transceiver PHY User Guide Contents Arria 10 Transceiver PHY Overview...1-1

More information

10. Configuration, Design Security, and Remote System Upgrades in Stratix IV Devices

10. Configuration, Design Security, and Remote System Upgrades in Stratix IV Devices September 2012 SIV51010-3.5 10. Configuration, Design Security, and Remote System Upgrades in Stratix IV Devices SIV51010-3.5 This chapter describes the configuration, design security, and remote system

More information

5. Using MAX V Devices in Multi-Voltage Systems

5. Using MAX V Devices in Multi-Voltage Systems June 2017 MV51005-2017.06.16 5. Using MAX V Devices in Multi-Voltage Systems MV51005-2017.06.16 This chapter describes how to implement Altera devices in multi-voltage systems without damaging the device

More information

Intel FPGA Temperature Sensor IP Core User Guide

Intel FPGA Temperature Sensor IP Core User Guide Intel FPGA Temperature Sensor IP Core User Guide UG-01074 2017.09.14 Subscribe Send Feedback Contents Contents... 3 Intel FPGA Temperature Sensor Features...3 Intel FPGA Temperature Sensor Functional Description...

More information

Dynamic Reconfiguration of PMA Controls in Stratix V Devices

Dynamic Reconfiguration of PMA Controls in Stratix V Devices Dynamic Reconfiguration of PMA Controls in Stratix V Devices AN-645-1.0 Application Note This application note describes how to use the transceiver reconfiguration controller to dynamically reconfigure

More information

White Paper Using the MAX II altufm Megafunction I 2 C Interface

White Paper Using the MAX II altufm Megafunction I 2 C Interface White Paper Using the MAX II altufm Megafunction I 2 C Interface Introduction Inter-Integrated Circuit (I 2 C) is a bidirectional two-wire interface protocol, requiring only two bus lines; a serial data/address

More information

Intel MAX 10 User Flash Memory User Guide

Intel MAX 10 User Flash Memory User Guide Intel MAX 10 User Flash Memory User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. Intel MAX 10 User Flash Memory

More information

DDR & DDR2 SDRAM Controller

DDR & DDR2 SDRAM Controller DDR & DDR2 SDRAM Controller December 2005, Compiler Version 3.3.1 Release Notes These release notes for the DDR and DDR2 SDRAM Controller Compiler version 3.3.1 contain the following information: System

More information

DDR & DDR2 SDRAM Controller

DDR & DDR2 SDRAM Controller DDR & DDR2 SDRAM Controller October 2005, Compiler Version 3.3.0 Release Notes These release notes for the DDR and DDR2 SDRAM Controller Compiler version 3.3.0 contain the following information: System

More information