Embedded Power Supply Controller

Size: px
Start display at page:

Download "Embedded Power Supply Controller"

Transcription

1 Embedded Power Supply Controller Amrish Singh 1, Prof. A. P. Mankodia 2 1 PG EC Student: U.V. Patel College of Engineering, Mehsana, Gujarat, India 2 Assistant professor, EC: U.V. Patel College of Engineering, Mehsana, Gujarat, India Abstract Small level of power supply controller (PSC) using an embedded system is planned that will control the parameters, monitor their status and protect the power supply under the fault conditions during the operation. Field Programmable Gate Array (FPGA) with soft processor will be used to develop the PSC. FPGA allows the fast signal manipulation and decision making (Protection) and the soft processor that is developed on the FPGA allows the control and acquisition through communication protocol. Discrete components (ADC, DAC, Comparators) are used to allow the analog signals to interface to digital circuits and vice versa. Basically the GUI running on the PC will send the control command to FPGA through processor and FPGA will than control the power supply and send the status of the signals back to the PC through processor. For the fast protection the FPGA monitor the signals against the safe limit and stop the power supply under fault. Keywords- Field programmable gate arrays (FPGA), Power supply controller (PSC)), Analog to digital converter (ADC), Digital to analog converter (DAC), Graphical user interface (GUI), Personnel computer (PC). I. INTRODUCTION Embedded systems are designed for the specific function within the larger system. It has the processing circuit like Microprocessor, DSP and FPGA that allows the data communication very easily. The characteristic of the embedded system to handle the particular task allows the design engineer to reduce the size and cost of the system and at the same time also make the system more reliable. Here the custom make engineering design of the Power supply embedded controller is planned as shown in the figure. Embedded controller will be specific to the single power supply that will locally perform the control, monitor and protection of the power supply. A. Block diagram of power supply controller The controller is modular having following modules: Figure.1 Block diagram for control, monitor and protection of power supply parameters. Power supply module derives the DC supply required by the modules and controller from 230V A.C.Input module monitor the power supply parameters (voltage, current) and send the information in the digital to the controller module. Output module receives the digital information of the Control parameter from the controller and that controls the power supply parameters (voltage, current). Controller Module communicate the power supply parameter from input and output module to the remote GUI through communication protocols (Ethernet, RS- 232).So the engineering design of the various modules, application development of remote graphical user interface and integrated functional testing is the task of the project. B. Project execution Since the concept is new and custom make the figure shows how the project is been executed step-by step. Figure.2 Methods for project execution 223

2 The first step is to prove the concept with prototype design. So the whole concept is designed using the Spartan-3an FPGA starter kit [6] and it is tested with dummy signal. Also application program for remote operation is developed in Lab View software. In parallel to prototype design the circuit design for industrial system is carried out. The whole system is then developed considering the industrial method and then tested with actual power supply of ECH&CD system. II. PROTOTYPE DESIGN OF INPUT MODULE USING SPARTAN 3 AN KIT Spartan-3an starter kit is used to perform prototype design. As shown below the kit has 14-bit ADC (analog to digital converter) that will act as input module for power supply parameters monitoring. Figure.5 SPI Timing When Communicating with preamplifier [6] B. SPI Communication Interface for ADC (analog to digital converter) When the AD_CONV signal goes high, the ADC simultaneously samples both analog channels. The results of this conversion are not presented until the next time AD_CONV is asserted, a latency of one sample. The maximum sample rate is approximately 1.5MHz. The ADC presents the digital representation of the sampled analog values as a 14-bit, two s complement binary value. Figure.3 input module on SPARTAN 3AN kit [6] A. SPI(serial to peripheral interface) Communication Interface for preamplifier The gain for each amplifier is sent as an eight-bit command word, consisting of two four-bit fields. The most-significant bit, B3, is sent first. Figure.4 SPI Control Interface for preamplifier [6] The SPI bus communication with the amplifier is shown in fig.4. Which starts on the falling edge of AMP_CS and the data is actually read on SPI_MOSI signal on the rising edge of the serial clock SPI_SCK signal just after 30 ns, after the data is read then then actual data is write on AMP_MOSI signal on the falling edge of the SPI_SCK signal. 224 C. Equations Figure.6 SPI Timing When Communicating with preamplifier [6] The analog representation of the output is given by: D [13:0] = 8192XGAINX (VIN 1.65)/1.25 (1) D [13:0]: 14 bit representation of analog input. GAIN: Gain of preamplifier. 1.65V: Reference voltage for each ADC. III. PROTOTYPE DESIGN OF OUTPUT MODULE USING SPARTAN 3AN KIT The DAC device is a Linear Technology LTC2624 quad DAC with 12-bit unsigned resolution. The four outputs from the DAC shown in Figure 7

3 The LTC2624 DAC transmits its data on the DAC_OUT signal on the falling edge of SPI_SCK. The FPGA captures this data on the next rising SPI_SCK edge. The High-going edge starts the actual digital-toanalog conversion process within the DAC. C. Equations VOUT= VEREFERNCEX D[11:0]/4096 (2) VOUT=12 bit analog output voltage representation Figure.7 SPI Timing When Communicating with preamplifier [6] A. SPI (serial to peripherel interface) for DAC(digital to analog converter) FPGA uses a Serial Peripheral Interface (SPI) to communicate digital values to each of the four DAC channels. A bus master the FPGA in this example drives the bus clock signal (SPI_SCK) and transmits serial data (SPI_MOSI) to the selected bus slave the DAC in this example. At the same time, the bus slave provides serial data (SPI_MISO) back to the bus master. VREFERNCE: Reference voltage available for each DAC. IV. EXPERIMENTAL RESULTS Figure.10 Test bench result of preamplifier and I complete clock cycle is 400ns Figure.8 Digital-to-Analog Connection Schematics [6] B. SPI Communication Details After driving the DAC_CS slave select signal Low, the FPGA transmits data on the SPI_MOSI signal, MSB first. Figure.9 SPI Communication Details [6] The LTC2624 captures input data (SPI_MOSI) on the rising edge of SPI_SCK; the data must be valid for at least 4 ns relative to the rising clock edge. Figure.11 result of preamplifier on CRO and the one clock cycle is also 400ns 225

4 Figure.12 ADC SPI Control timing with timing between the 2 rising edges of clock is 480 ns on Xilinx software Figure.14 Test bench waveform of DAC Figure.15 DAC SPI Control timing on CRO Figure.13 ADC SPI Control timing on CRO 226

5 V. CONCLUSION Since embedded power supply controller is used as a localized solution for individual power supply so it provide the customized approach to control number of power supply by designing specific controller as per the power supplies. Embedded power supply controller also reduces number of cables and connection required for controlling the power supplies and also reduction in size and cost of the system by improving the reliability and performance. Hence we came to conclude that as an option by following the above features merits and desired results from the embedded power supply controller which are same by using COTS(commercially available off the shelf system) systems using PLC and PXI we can use embedded power supply controller. Acknowledgement I would like to thank all who have been instrumental in my growth as engineering professional.my great obligations remain towards Prof. Anand.P.Mankodia, for being a constant source of inspiration, and acting as a guide, helping me throughout my stint in the college, participating actively in the report development process, providing me with all the facilities, and who has done much beyond expectations to bring out the best in me. REFERENCES Journal Paper References: [1] Amine Mezghani and Josef A. Nossek. How to choose the ADC resolution for short range low power communication, publication year 2010 by IEEE conference publication [2] IEEE, IEEE Standard VHDL Language Reference Manual (IEEE Srd ), Institute of Electrical and Electronics Engineers, Book References: [3] J. Bhasker, A VHDL Primer, 3rd Edition, Pearson Prentice Hall, New Delhi, 2006 [4] P. J. Ashen den, The Designer s Guide to VHDL, 2nd ed., Morgan Kaufmann, 2001 [5] J. 0. Hamblen et al., Rapid Prototyping of Digital Systems: Quartus@ II Edition, Springer, Datasheet Reference [6] Spartan-3A/3AN FPGA Starter Kit Board User Guide/UG334 (v1.1) June 19, 2008 [7] Complete, Quad, 12-/14-/16-Bit, Serial Input, nipolar/bipolar Voltage Output DACs 5754R [8] Ultra low Distortion, Ultra low Noise Op Amp 2.5 V/3.0 V High Precision Reference AD780 AR [9] 5V to 3.3 V voltage converter IC [10] 2-Channel, Software-Selectable, True Bipolar Input,1 MSPS, 12- Bit Plus Sign ADC

FPGA-BASED DATA ACQUISITION SYSTEM WITH RS 232 INTERFACE

FPGA-BASED DATA ACQUISITION SYSTEM WITH RS 232 INTERFACE FPGA-BASED DATA ACQUISITION SYSTEM WITH RS 232 INTERFACE 1 Thirunavukkarasu.T, 2 Kirthika.N 1 PG Student: Department of ECE (PG), Sri Ramakrishna Engineering College, Coimbatore, India 2 Assistant Professor,

More information

THE INTERNATIONAL JOURNAL OF SCIENCE & TECHNOLEDGE

THE INTERNATIONAL JOURNAL OF SCIENCE & TECHNOLEDGE THE INTERNATIONAL JOURNAL OF SCIENCE & TECHNOLEDGE Assertion Based Verification of I2C Master Bus Controller with RTC Sagar T. D. M.Tech Student, VLSI Design and Embedded Systems BGS Institute of Technology,

More information

High Speed SPI Slave Implementation in FPGA using Verilog HDL

High Speed SPI Slave Implementation in FPGA using Verilog HDL High Speed SPI Slave Implementation in FPGA using Verilog HDL Mr. Akshay K. Shah Abstract SPI (Serial Peripheral Interface) is a synchronous serial communication interface for short distance communication.

More information

I also provide a purpose-built ADC/DAC board to support the lab experiment. This analogue I/O board in only needed for Part 3 and 4 of VERI.

I also provide a purpose-built ADC/DAC board to support the lab experiment. This analogue I/O board in only needed for Part 3 and 4 of VERI. 1 2 I also provide a purpose-built ADC/DAC board to support the lab experiment. This analogue I/O board in only needed for Part 3 and 4 of VERI. However I will now be examining the digital serial interface

More information

PSIM Tutorial. How to Use SPI in F2833x Target. February Powersim Inc.

PSIM Tutorial. How to Use SPI in F2833x Target. February Powersim Inc. PSIM Tutorial How to Use SPI in F2833x Target February 2013-1 - Powersim Inc. With the SimCoder Module and the F2833x Hardware Target, PSIM can generate ready-to-run codes for DSP boards that use TI F2833x

More information

An Efficient Designing of I2C Bus Controller Using Verilog

An Efficient Designing of I2C Bus Controller Using Verilog American International Journal of Research in Science, Technology, Engineering & Mathematics Available online at http://www.iasir.net ISSN (Print): 2328-3491, ISSN (Online): 2328-3580, ISSN (CD-ROM): 2328-3629

More information

Keywords: Soft Core Processor, Arithmetic and Logical Unit, Back End Implementation and Front End Implementation.

Keywords: Soft Core Processor, Arithmetic and Logical Unit, Back End Implementation and Front End Implementation. ISSN 2319-8885 Vol.03,Issue.32 October-2014, Pages:6436-6440 www.ijsetr.com Design and Modeling of Arithmetic and Logical Unit with the Platform of VLSI N. AMRUTHA BINDU 1, M. SAILAJA 2 1 Dept of ECE,

More information

Trends in Prototyping Systems. ni logic Pvt. Ltd., Pune, India

Trends in Prototyping Systems. ni logic Pvt. Ltd., Pune, India Trends in Prototyping Systems ni logic Pvt. Ltd., Pune, India Focus of design dept. Electronic system & Flow Design problems Educating design Prototype USDP Features Applications Conclusion Agenda Faster

More information

Electronic Design for Power Control Technology and Knowledge transferred from University to Industry

Electronic Design for Power Control   Technology and Knowledge transferred from University to Industry CITCEA-UPC Electronic Design for Power Control www.citcea.upc.edu Technology and Knowledge transferred from University to Industry 1 CITCEA-UPC is a centre for research and technology innovation born in

More information

SUB-SYSTEM BOARD 5562 Campbell (MAXREFDES4#): 16-Bit High-Accuracy 4-20mA Input Isolated Analog Front End (AFE)

SUB-SYSTEM BOARD 5562 Campbell (MAXREFDES4#): 16-Bit High-Accuracy 4-20mA Input Isolated Analog Front End (AFE) Maxim > Design Support > Technical Documents > Sub-System Boards > APP 5562 Keywords: Campbell, MAXREFDES4, subsystem reference design, analog front end, AFE, industrial sensors, isolated power and data,

More information

AMS 5812 OEM pressure sensor with an analog and digital output

AMS 5812 OEM pressure sensor with an analog and digital output Digital signal conditioning is becoming increasingly common in sensor technology. However, some sensor system states can be monitored more easily using analog values. For redundancy and system safety reasons

More information

FPGA based Simulation of Clock Gated ALU Architecture with Multiplexed Logic Enable for Low Power Applications

FPGA based Simulation of Clock Gated ALU Architecture with Multiplexed Logic Enable for Low Power Applications IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 04, 2015 ISSN (online): 2321-0613 FPGA based Simulation of Clock Gated ALU Architecture with Multiplexed Logic Enable for

More information

Adding PC Connectivity to the MTS-88 Microcomputer Teaching. Omar Walid Abdul-Wahab, Wameedh Nazar Flayyih. System

Adding PC Connectivity to the MTS-88 Microcomputer Teaching. Omar Walid Abdul-Wahab, Wameedh Nazar Flayyih. System Adding PC Connectivity to the MTS-88 Microcomputer Teaching System Computer Engineering Department, University of Baghdad, Baghdad, Iraq omarwalid1@yahoo.com, wam_nazar@yahoo.com doi: 10.4156/ijact.vol2.issue2.16

More information

ECE 480 Team 5 Introduction to MAVRK module

ECE 480 Team 5 Introduction to MAVRK module ECE 480 Team 5 Introduction to MAVRK module Team Members Jordan Bennett Kyle Schultz Min Jae Lee Kevin Yeh Definition of MAVRK Component of MAVRK starter Kit Component of umavrk Module design procedure

More information

TEST REPORT POWER SUPPLY AND THERMAL V2

TEST REPORT POWER SUPPLY AND THERMAL V2 CERN European Organization for Nuclear Research Beams Department Radio Frequency RF Feedbacks and Beam Control TEST REPORT POWER SUPPLY AND THERMAL V2 By: Petri Leinonen BE-RF-FB Date: 27.06.2012 TABLE

More information

Implementation of FFT Processor using Urdhva Tiryakbhyam Sutra of Vedic Mathematics

Implementation of FFT Processor using Urdhva Tiryakbhyam Sutra of Vedic Mathematics Implementation of FFT Processor using Urdhva Tiryakbhyam Sutra of Vedic Mathematics Yojana Jadhav 1, A.P. Hatkar 2 PG Student [VLSI & Embedded system], Dept. of ECE, S.V.I.T Engineering College, Chincholi,

More information

International Journal of Informative & Futuristic Research ISSN (Online):

International Journal of Informative & Futuristic Research ISSN (Online): Research Paper Volume 2 Issue 6 February 2015 International Journal of Informative & Futuristic Research ISSN (Online): 2347-1697 Implementation Of Microcontroller On FPGA Paper ID IJIFR/ V2/ E6/ 018 Page

More information

DIGITAL SYSTEM. Technology Overview Nordco. All rights reserved. Rev C

DIGITAL SYSTEM. Technology Overview Nordco. All rights reserved. Rev C DIGITAL SYSTEM Technology Overview Rev C 01-05-2016 Insert Full Frame Product Picture Here 2015 KEY FEATURES DIGITAL PROCESSING SYSTEM FOR INDUSTRIAL & TONNE UE SYSTEM DIGITAL PROCESSING SYSTEM FOR MICRO

More information

Design and Implementation of Hamming Code on FPGA using Verilog

Design and Implementation of Hamming Code on FPGA using Verilog International Journal of Engineering and Advanced Technology (IJEAT) Design and Implementation of Hamming Code on FPGA using Verilog Ravi Hosamani, Ashwini S. Karne Abstract In mathematics, digital communication

More information

Group 10 Programmable Sensor Output Simulator Progress Report #2

Group 10 Programmable Sensor Output Simulator Progress Report #2 Department of Electrical Engineering University of Victoria ELEC 499 Design Project Group 10 Programmable Sensor Output Simulator Progress Report #2 March 5, 2005 Submitted by: Group No.: 10 Team: Exfour

More information

An FPGA Project for use in a Digital Logic Course

An FPGA Project for use in a Digital Logic Course Session 3226 An FPGA Project for use in a Digital Logic Course Daniel C. Gray, Thomas D. Wagner United States Military Academy Abstract The Digital Computer Logic Course offered at the United States Military

More information

Mayhew Labs. Extended ADC Shield User Manual

Mayhew Labs. Extended ADC Shield User Manual Table of Contents: Introduction 1 Hardware Description 1 Pin Descriptions 2 Setting the SPI communication level 2 Setting User Defined pin usage 2 Freeing Up Pin 9 (BUSY) 2 Installing Input Filtering Capacitors

More information

DESIGN AND IMPLEMENTATION OF FPGA BASED MULTIPURPOSE REAL-TIME CONTROLLER FOR HYBRID STEPPER MOTOR

DESIGN AND IMPLEMENTATION OF FPGA BASED MULTIPURPOSE REAL-TIME CONTROLLER FOR HYBRID STEPPER MOTOR DESIGN AND IMPLEMENTATION OF FPGA BASED MULTIPURPOSE REAL-TIME CONTROLLER FOR HYBRID STEPPER MOTOR Arun G Mohan 1 and Vijina K 2 1 PG Student [Electrical Machines], Department of EEE, Sree Buddha College

More information

Hybrid Electronics Laboratory

Hybrid Electronics Laboratory Hybrid Electronics Laboratory Design and Simulation of Various Code Converters Aim: To Design and Simulate Binary to Gray, Gray to Binary, BCD to Excess 3, Excess 3 to BCD code converters. Objectives:

More information

Design and Verification of Serial Peripheral Interface 1 Ananthula Srinivas, 2 M.Kiran Kumar, 3 Jugal Kishore Bhandari

Design and Verification of Serial Peripheral Interface 1 Ananthula Srinivas, 2 M.Kiran Kumar, 3 Jugal Kishore Bhandari Design and Verification of Serial Peripheral Interface ISSN: 2321-9939 Design and Verification of Serial Peripheral Interface 1 Ananthula Srinivas, 2 M.Kiran Kumar, 3 Jugal Kishore Bhandari 1,3 MTech Student,

More information

OP5600 & OP7000. High performance Real-Time simulators. Yahia Bouzid 25 th June2013

OP5600 & OP7000. High performance Real-Time simulators. Yahia Bouzid 25 th June2013 OP5600 & OP7000 High performance Real-Time simulators Yahia Bouzid 25 th June2013 Contents Model-based design concept Applications Rapid Control Prototyping Hardware in-the-loop OPAL-RT Real-Time simulators

More information

Data Acquisition From Capacitance Sensor AD7746 To Central Monitoring System Using I 2 C Protocol

Data Acquisition From Capacitance Sensor AD7746 To Central Monitoring System Using I 2 C Protocol Data Acquisition From Capacitance Sensor AD7746 To Central Monitoring System Using I 2 C Protocol Abhilash C S 1, Muralidhar N 2 Department of Electronics and Communication, V.V.I.E.T., Mysore, India 1,2

More information

Advanced course on Embedded Systems design using FPGA

Advanced course on Embedded Systems design using FPGA Advanced course on Embedded Systems design using FPGA Subramaniam Ganesan, Phares A. Noel, Ashok Prajapati Oakland University, ganesan@oakland.edu, panoel@oakland.edu, akprajap@oakland.edu Abstract-As

More information

ELCT 501: Digital System Design

ELCT 501: Digital System Design ELCT 501: Digital System Lecture 1: Introduction Dr. Mohamed Abd El Ghany, Mohamed.abdel-ghany@guc.edu.eg Administrative Rules Course components: Lecture: Thursday (fourth slot), 13:15-14:45 (H8) Office

More information

LPMS-ME1 DK Manual Ver.1.4

LPMS-ME1 DK Manual Ver.1.4 LPMS-ME1 DK Manual Ver.1.4 LP-RESEARCH Inc. http://www.lp-research.com Table of Contents 1. Document Revision History... - 2-2. Introduction... - 3-3. Operation... - 4-3.1 Base Board Overview... - 4-3.2

More information

32 bit Arithmetic Logical Unit (ALU) using VHDL

32 bit Arithmetic Logical Unit (ALU) using VHDL 32 bit Arithmetic Logical Unit (ALU) using VHDL 1, Richa Singh Rathore 2 1 M. Tech Scholar, Department of ECE, Jayoti Vidyapeeth Women s University, Rajasthan, INDIA, dishamalik26@gmail.com 2 M. Tech Scholar,

More information

DSP Research Project

DSP Research Project DSP Research Project The digital signal processing (DSP) research project is a core component of the Physics 351 digital electronics course. The research project component is structured as design, construction,

More information

System Verification of Hardware Optimization Based on Edge Detection

System Verification of Hardware Optimization Based on Edge Detection Circuits and Systems, 2013, 4, 293-298 http://dx.doi.org/10.4236/cs.2013.43040 Published Online July 2013 (http://www.scirp.org/journal/cs) System Verification of Hardware Optimization Based on Edge Detection

More information

PS2 VGA Peripheral Based Arithmetic Application Using Micro Blaze Processor

PS2 VGA Peripheral Based Arithmetic Application Using Micro Blaze Processor PS2 VGA Peripheral Based Arithmetic Application Using Micro Blaze Processor K.Rani Rudramma 1, B.Murali Krihna 2 1 Assosiate Professor,Dept of E.C.E, Lakireddy Bali Reddy Engineering College, Mylavaram

More information

TEACHING COMPUTER ARCHITECTURE THROUGH DESIGN PRACTICE. Guoping Wang 1. INTRODUCTION

TEACHING COMPUTER ARCHITECTURE THROUGH DESIGN PRACTICE. Guoping Wang 1. INTRODUCTION TEACHING COMPUTER ARCHITECTURE THROUGH DESIGN PRACTICE Guoping Wang Indiana University Purdue University Fort Wayne, Indiana; Email:wang@engr.ipfw.edu 1. INTRODUCTION Computer Architecture is a common

More information

ISSN: [Bilani* et al.,7(2): February, 2018] Impact Factor: 5.164

ISSN: [Bilani* et al.,7(2): February, 2018] Impact Factor: 5.164 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY A REVIEWARTICLE OF SDRAM DESIGN WITH NECESSARY CRITERIA OF DDR CONTROLLER Sushmita Bilani *1 & Mr. Sujeet Mishra 2 *1 M.Tech Student

More information

DESIGN AND IMPLEMENTATION OF I2C SINGLE MASTER ON FPGA USING VERILOG

DESIGN AND IMPLEMENTATION OF I2C SINGLE MASTER ON FPGA USING VERILOG DESIGN AND IMPLEMENTATION OF I2C SINGLE MASTER ON FPGA USING VERILOG Shivani Mehrotra 1, Nisha Charaya *2 1 M.Tech (ECE), 2 Assistant Professor, Amity University Gurgaon (Haryana), India Abstract: This

More information

FPGA Implementation of I2C and SPI Protocols using VHDL

FPGA Implementation of I2C and SPI Protocols using VHDL FPGA Implementation of I2C and SPI Protocols using VHDL Satish M Ghuse 1, Prof. Surendra K. Waghmare 2 1, 2 Department of ENTC 1, 2 SPPU/G.H.Raisoni College of Engineering and Management, Pune, Maharashtra/Zone,

More information

The University of Reduced Instruction Set Computer (MARC)

The University of Reduced Instruction Set Computer (MARC) The University of Reduced Instruction Set Computer (MARC) Abstract We present our design of a VHDL-based, RISC processor instantiated on an FPGA for use in undergraduate electrical engineering courses

More information

EE345L Fall 2007 December 14, 2007, 9am-12 Version 1 Page 1 of 8

EE345L Fall 2007 December 14, 2007, 9am-12 Version 1 Page 1 of 8 EE345L Fall 2007 December 14, 2007, 9am-12 Version 1 Page 1 of 8 Jonathan W. Valvano First: Last: This is the closed book section. You must put your answers in the boxes on this answer page. When you are

More information

How to validate your FPGA design using realworld

How to validate your FPGA design using realworld How to validate your FPGA design using realworld stimuli Daniel Clapham National Instruments ni.com Agenda Typical FPGA Design NIs approach to FPGA Brief intro into platform based approach RIO architecture

More information

IMPLEMENTATION OF DOUBLE PRECISION FLOATING POINT RADIX-2 FFT USING VHDL

IMPLEMENTATION OF DOUBLE PRECISION FLOATING POINT RADIX-2 FFT USING VHDL IMPLEMENTATION OF DOUBLE PRECISION FLOATING POINT RADIX-2 FFT USING VHDL Tharanidevi.B 1, Jayaprakash.R 2 Assistant Professor, Dept. of ECE, Bharathiyar Institute of Engineering for Woman, Salem, TamilNadu,

More information

Ali Karimpour Associate Professor Ferdowsi University of Mashhad

Ali Karimpour Associate Professor Ferdowsi University of Mashhad AUTOMATIC CONTROL SYSTEMS Ali Karimpour Associate Professor Ferdowsi University of Mashhad Main reference: Christopher T. Kilian, (2001), Modern Control Technology: Components and Systems Publisher: Delmar

More information

DSP Research Project

DSP Research Project DSP Research Project The digital signal processing (DSP) research project is a core component of the Physics 351 digital electronics course. The research project component is structured as design, construction,

More information

2015 Paper E2.1: Digital Electronics II

2015 Paper E2.1: Digital Electronics II s 2015 Paper E2.1: Digital Electronics II Answer ALL questions. There are THREE questions on the paper. Question ONE counts for 40% of the marks, other questions 30% Time allowed: 2 hours (Not to be removed

More information

DESIGN AND IMPLEMENTATION OF VLSI SYSTOLIC ARRAY MULTIPLIER FOR DSP APPLICATIONS

DESIGN AND IMPLEMENTATION OF VLSI SYSTOLIC ARRAY MULTIPLIER FOR DSP APPLICATIONS International Journal of Computing Academic Research (IJCAR) ISSN 2305-9184 Volume 2, Number 4 (August 2013), pp. 140-146 MEACSE Publications http://www.meacse.org/ijcar DESIGN AND IMPLEMENTATION OF VLSI

More information

Ali Karimpour Associate Professor Ferdowsi University of Mashhad

Ali Karimpour Associate Professor Ferdowsi University of Mashhad AUTOMATIC CONTROL SYSTEMS Ali Karimpour Associate Professor Ferdowsi University of Mashhad Main reference: Christopher T. Kilian, (2001), Modern Control Technology: Components and Systems Publisher: Delmar

More information

An FPGA based Implementation of Floating-point Multiplier

An FPGA based Implementation of Floating-point Multiplier An FPGA based Implementation of Floating-point Multiplier L. Rajesh, Prashant.V. Joshi and Dr.S.S. Manvi Abstract In this paper we describe the parameterization, implementation and evaluation of floating-point

More information

Design and Verification Point-to-Point Architecture of WISHBONE Bus for System-on-Chip

Design and Verification Point-to-Point Architecture of WISHBONE Bus for System-on-Chip International Journal of Emerging Engineering Research and Technology Volume 2, Issue 2, May 2014, PP 155-159 Design and Verification Point-to-Point Architecture of WISHBONE Bus for System-on-Chip Chandrala

More information

Rapid Control Prototyping Solutions Electrical Drive, Power Conversion and Power Systems

Rapid Control Prototyping Solutions Electrical Drive, Power Conversion and Power Systems Rapid Control Prototyping Solutions Electrical Drive, Power Conversion and Power Systems Presented by Guillaume Boué guillaume.boue@opal-rt.com 2013 OPAL-RT www.opal-rt.com Presentation outline WHY INTRO

More information

Running OPAL-RT s ehs on National Instruments crio: Sub-microsecond power-electronic simulation

Running OPAL-RT s ehs on National Instruments crio: Sub-microsecond power-electronic simulation Running OPAL-RT s ehs on National Instruments crio: Sub-microsecond power-electronic simulation Ben Black Market Development Manager, Real-Time Test & Power Systems National Instruments ben.black@ni.com

More information

Spartan -3A / Spartan -3AN Out of the box, now what? Eric Crabill Xilinx, Incorporated 04/01/2007

Spartan -3A / Spartan -3AN Out of the box, now what? Eric Crabill Xilinx, Incorporated 04/01/2007 Spartan -3A / Spartan -3AN Out of the box, now what? Eric Crabill Xilinx, Incorporated 04/01/2007 Agenda Introduction to the Starter Kit Features, Capabilities, and Uses Pre-Loaded Demo Kit Contents Summary

More information

Implementation of High Speed Distributed Data Acquisition System

Implementation of High Speed Distributed Data Acquisition System International Journal of Advancements in Research & Technology, Volume 1, Issue 4, September-2012 1 Implementation of High Speed Distributed Data Acquisition System ANJU P.RAJU 1, AMBIKA SEKHAR 2 1 Embedded

More information

Optimizing HDL IP Development with Real-World I/O. William Baars National Instruments

Optimizing HDL IP Development with Real-World I/O. William Baars National Instruments Optimizing HDL IP Development with Real-World I/O William Baars National Instruments William.baars@ni.com Agenda IP Development Process Traditional Algorithm Engineering Components required for HDL IP

More information

Microcontroller Based High Resolution Temperature Monitoring Data Acquisition System with Graphical User Interface

Microcontroller Based High Resolution Temperature Monitoring Data Acquisition System with Graphical User Interface Microcontroller Based High Resolution Temperature Monitoring Data Acquisition System with Graphical User Interface Jayanta Kumar Nath 1, Sharmila Nath 2, Kanak Chandra Sarmah 3 Department of Instrumentation,

More information

Controller IP for a Low Cost FPGA Based USB Device Core

Controller IP for a Low Cost FPGA Based USB Device Core National Conference on Emerging Trends in VLSI, Embedded and Communication Systems-2013 17 Controller IP for a Low Cost FPGA Based USB Device Core N.V. Indrasena and Anitta Thomas Abstract--- In this paper

More information

International Journal of Advanced Research in Electrical, Electronics and Instrumentation Engineering

International Journal of Advanced Research in Electrical, Electronics and Instrumentation Engineering IP-SRAM ARCHITECTURE AT DEEP SUBMICRON CMOS TECHNOLOGY A LOW POWER DESIGN D. Harihara Santosh 1, Lagudu Ramesh Naidu 2 Assistant professor, Dept. of ECE, MVGR College of Engineering, Andhra Pradesh, India

More information

Design and Research of Virtual Instrument Development Board

Design and Research of Virtual Instrument Development Board Design and Research of Virtual Instrument Development Board Lin Zhang 1, Taizhou Li 2, and Zhuo Chen 2 1 School of Mechanical and Engineering, Huazhong University of Science and Technology 2 School of

More information

PXI Tsunami in Semiconductor ATE Michael Dewey Geotest Marvin Test Systems Silicon Valley Test Conference

PXI Tsunami in Semiconductor ATE Michael Dewey Geotest Marvin Test Systems Silicon Valley Test Conference PXI Tsunami in Semiconductor ATE Michael Dewey Geotest Marvin Test Systems miked@geotestinc.com Silicon Valley Test Conference 2012 1 Agenda Geotest background Semiconductor market and trends PXI for semiconductor

More information

PIC Serial Peripheral Interface (SPI) to Digital Pot

PIC Serial Peripheral Interface (SPI) to Digital Pot Name Lab Section PIC Serial Peripheral Interface (SPI) to Digital Pot Lab 7 Introduction: SPI is a popular synchronous serial communication protocol that allows ICs to communicate over short distances

More information

Digital Discovery Reference Manual

Digital Discovery Reference Manual Digital Discovery Reference Manual The Digilent Digital Discovery is a combined logic analyzer and pattern generator instrument that was created to be the ultimate embedded development companion. The Digital

More information

Advanced Computing, Memory and Networking Solutions for Space

Advanced Computing, Memory and Networking Solutions for Space Advanced Computing, Memory and Networking Solutions for Space 25 th Microelectronics Workshop November 2012 µp, Networking Solutions and Memories Microprocessor building on current LEON 3FT offerings UT699E:

More information

HCTL Open Int. J. of Technology Innovations and Research HCTL Open IJTIR, Volume 4, July 2013 e-issn: ISBN (Print):

HCTL Open Int. J. of Technology Innovations and Research HCTL Open IJTIR, Volume 4, July 2013 e-issn: ISBN (Print): Design, Implementation and Functional Verification of Serial Communication Protocols (SPI and I2C) on FPGAs Amit Kumar Shrivastava and Himanshu Joshi amit0404@gmail.com Abstract Today, at the low end of

More information

FPGA design with National Instuments

FPGA design with National Instuments FPGA design with National Instuments Rémi DA SILVA Systems Engineer - Embedded and Data Acquisition Systems - MED Region ni.com The NI Approach to Flexible Hardware Processor Real-time OS Application software

More information

Announced June 9, 2015 PAC1921: World s First High-Side Current/Power Sensor With 2-Wire Bus & Configurable Analog Output

Announced June 9, 2015 PAC1921: World s First High-Side Current/Power Sensor With 2-Wire Bus & Configurable Analog Output Announced June 9, 2015 PAC1921: World s First High-Side Current/Power Sensor With 2-Wire Bus & Configurable Analog Output Announcing the PAC1921 High-Side Current Sensor Announced on June 9, 2015 2 Industry

More information

Intelligent Pressure Measuring System

Intelligent Pressure Measuring System Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology ISSN 2320 088X IJCSMC, Vol. 3, Issue.

More information

FPGA Interfacing of HD44780 Based LCD Using Delayed Finite State Machine (FSM)

FPGA Interfacing of HD44780 Based LCD Using Delayed Finite State Machine (FSM) FPGA Interfacing of HD44780 Based LCD Using Delayed Finite State Machine (FSM) Edwin NC Mui Custom R & D Engineer Texco Enterprise Ptd. Ltd. {blackgrail2000@hotmail.com} Abstract This paper presents a

More information

Understanding SPI with Precision Data Converters

Understanding SPI with Precision Data Converters Understanding SPI with Precision Data Converters By: Tony Calabria Presented by: 1 Communication Comparison SPI - Serial Peripheral Interface Bus I2C - Inter- Integrated Circuit Parallel Bus Advantages

More information

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February-2014 938 LOW POWER SRAM ARCHITECTURE AT DEEP SUBMICRON CMOS TECHNOLOGY T.SANKARARAO STUDENT OF GITAS, S.SEKHAR DILEEP

More information

Design Progression With VHDL Helps Accelerate The Digital System Designs

Design Progression With VHDL Helps Accelerate The Digital System Designs Fourth LACCEI International Latin American and Caribbean Conference for Engineering and Technology (LACCET 2006) Breaking Frontiers and Barriers in Engineering: Education, Research and Practice 21-23 June

More information

Pipelined MIPS processor with cache controller using VHDL implementation for educational purpose

Pipelined MIPS processor with cache controller using VHDL implementation for educational purpose Journal From the SelectedWorks of Kirat Pal Singh Winter December 28, 203 Pipelined MIPS processor with cache controller using VHDL implementation for educational purpose Hadeel Sh. Mahmood, College of

More information

Design, Analysis and Processing of Efficient RISC Processor

Design, Analysis and Processing of Efficient RISC Processor Design, Analysis and Processing of Efficient RISC Processor Ramareddy 1, M.N.Pradeep 2 1M-Tech., VLSI D& Embedded Systems, Dept of E&CE, Dayananda Sagar College of Engineering, Bangalore. Karnataka, India

More information

ISSN NO: International Journal of Research. Volume 7, Issue IX, September/2018. Page No:191

ISSN NO: International Journal of Research. Volume 7, Issue IX, September/2018. Page No:191 VEHICLE PARAMETER MONITORING SYSTEM USING CAN PROTOCOL M.Maneesha 1, Dr. VandanaKhare 2, N. Bhagya Laxmi 3 1 PG Student, Dept. of ECE, CMRCET, Hyderabad, India, Email: maneesha496@gmail.com 2 Professor,

More information

UNIVERSAL VERIFICATION METHODOLOGY BASED VERIFICATION ENVIRONMENT FOR PCIE DATA LINK LAYER

UNIVERSAL VERIFICATION METHODOLOGY BASED VERIFICATION ENVIRONMENT FOR PCIE DATA LINK LAYER UNIVERSAL VERIFICATION METHODOLOGY BASED VERIFICATION ENVIRONMENT FOR PCIE DATA LINK LAYER Dr.T.C.Thanuja [1], Akshata [2] Professor, Dept. of VLSI Design & Embedded systems, VTU, Belagavi, Karnataka,

More information

VLSI DESIGN OF REDUCED INSTRUCTION SET COMPUTER PROCESSOR CORE USING VHDL

VLSI DESIGN OF REDUCED INSTRUCTION SET COMPUTER PROCESSOR CORE USING VHDL International Journal of Electronics, Communication & Instrumentation Engineering Research and Development (IJECIERD) ISSN 2249-684X Vol.2, Issue 3 (Spl.) Sep 2012 42-47 TJPRC Pvt. Ltd., VLSI DESIGN OF

More information

UART TO SPI SPECIFICATION

UART TO SPI SPECIFICATION UART TO SPI SPECIFICATION Author: Dinesh Annayya dinesha@opencores.org Table of Contents Preface... 3 Scope... 3 Revision History... 3 Abbreviations... 3 Introduction... 3 Architecture... 4 Baud-rate generator

More information

D Demonstration of disturbance recording functions for PQ monitoring

D Demonstration of disturbance recording functions for PQ monitoring D6.3.7. Demonstration of disturbance recording functions for PQ monitoring Final Report March, 2013 M.Sc. Bashir Ahmed Siddiqui Dr. Pertti Pakonen 1. Introduction The OMAP-L138 C6-Integra DSP+ARM processor

More information

Implementation of Pipelined Architecture Based on the DCT and Quantization For JPEG Image Compression

Implementation of Pipelined Architecture Based on the DCT and Quantization For JPEG Image Compression Volume 01, No. 01 www.semargroups.org Jul-Dec 2012, P.P. 60-66 Implementation of Pipelined Architecture Based on the DCT and Quantization For JPEG Image Compression A.PAVANI 1,C.HEMASUNDARA RAO 2,A.BALAJI

More information

16 BIT IMPLEMENTATION OF ASYNCHRONOUS TWOS COMPLEMENT ARRAY MULTIPLIER USING MODIFIED BAUGH-WOOLEY ALGORITHM AND ARCHITECTURE.

16 BIT IMPLEMENTATION OF ASYNCHRONOUS TWOS COMPLEMENT ARRAY MULTIPLIER USING MODIFIED BAUGH-WOOLEY ALGORITHM AND ARCHITECTURE. 16 BIT IMPLEMENTATION OF ASYNCHRONOUS TWOS COMPLEMENT ARRAY MULTIPLIER USING MODIFIED BAUGH-WOOLEY ALGORITHM AND ARCHITECTURE. AditiPandey* Electronics & Communication,University Institute of Technology,

More information

Design of High Speed DMA Controller using VHDL

Design of High Speed DMA Controller using VHDL Design of High Speed DMA Controller using VHDL Dharmik S. Dhamecha 1, Prof. Prashant R. Indurkar 2, Prof. Ravindra D. Kadam 3 M. Tech (VLSI), Department of EXTC Engineering, BDCOE, Wardha, India 1 Associate

More information

Xilinx Tutorial Basic Walk-through

Xilinx Tutorial Basic Walk-through Introduction to Digital Logic Design with FPGA s: Digital logic circuits form the basis of all digital electronic devices. FPGAs (Field Programmable Gate Array) are large programmable digital electronic

More information

Cost-and Power Optimized FPGA based System Integration: Methodologies and Integration of a Lo

Cost-and Power Optimized FPGA based System Integration: Methodologies and Integration of a Lo Cost-and Power Optimized FPGA based System Integration: Methodologies and Integration of a Low-Power Capacity- based Measurement Application on Xilinx FPGAs Abstract The application of Field Programmable

More information

Hardware Design Guidelines for POWERLINK SLAVE on FPGA

Hardware Design Guidelines for POWERLINK SLAVE on FPGA Hardware Design Guidelines for POWERLINK SLAVE on FPGA Date: Project Number: AT-xx-xxxxxx We reserve the right to change the content of this manual without prior notice. The information contained herein

More information

The amount of current drawn and the temperature generated by DC motor are crucial in understanding the performance and reliability of motors.

The amount of current drawn and the temperature generated by DC motor are crucial in understanding the performance and reliability of motors. 1/1/1 Fall 1 Honore Hodary Motivation Overheating is one of the most common cause of failure in DC motors. It can lead to bearings failure (motor jam), winding isolation (short circuit), and degradation

More information

PROFIBUS-DP to G-64 CONFIGURABLE INTERFACE

PROFIBUS-DP to G-64 CONFIGURABLE INTERFACE EUROPEAN ORGANIZATION FOR NUCLEAR RESEARCH CERN SL DIVISION SL-Note-2001-016 BT PROFIBUS-DP to G-64 CONFIGURABLE INTERFACE E. Carlier, A. Moreno Forrellad # (SL/BT), J. Rochez (IT/CO) and J. Serrano (PS/CO)

More information

Pipelined Quadratic Equation based Novel Multiplication Method for Cryptographic Applications

Pipelined Quadratic Equation based Novel Multiplication Method for Cryptographic Applications , Vol 7(4S), 34 39, April 204 ISSN (Print): 0974-6846 ISSN (Online) : 0974-5645 Pipelined Quadratic Equation based Novel Multiplication Method for Cryptographic Applications B. Vignesh *, K. P. Sridhar

More information

Tutorial on VHDL and Verilog Applications

Tutorial on VHDL and Verilog Applications Second LACCEI International Latin American and Caribbean Conference for Engineering and Technology (LACCEI 2004) Challenges and Opportunities for Engineering Education, Research and Development 2-4 June

More information

Data Encryption on FPGA using Huffman Coding

Data Encryption on FPGA using Huffman Coding Data Encryption on FPGA using Huffman Coding Sourav Singh 1, Kirti Gupta 2 12 Electronics and Communication Department, Bharati Vidyapeeth s College of Engineering, New Delhi, (India) ABSTRACT The ultimate

More information

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY A PATH FOR HORIZING YOUR INNOVATIVE WORK DESIGN OF QUATERNARY ADDER FOR HIGH SPEED APPLICATIONS MS. PRITI S. KAPSE 1, DR.

More information

Design and Implementation of Lossless Data Compression Coprocessor using FPGA

Design and Implementation of Lossless Data Compression Coprocessor using FPGA Design and Implementation of Lossless Data Compression Coprocessor using FPGA Udaya Kumar H PG Student(VLSI Design and Embedded Systems) SIET, Tumkur Karnataka, India Madhu B C Assistant Prof., Dept. of

More information

B.Sc II Year Computer Science (Optional)

B.Sc II Year Computer Science (Optional) Swami Ramanand Teerth Marathwad University, Nanded B.Sc II Year Computer Science (Optional) (Semester Pattern) ( W.E.F. June 2010) Paper No VI VII Paper Title Digital Electronics & 8085 Microprocessor

More information

I2C a learn.sparkfun.com tutorial

I2C a learn.sparkfun.com tutorial I2C a learn.sparkfun.com tutorial Available online at: http://sfe.io/t82 Contents Introduction Why Use I2C? I2C at the Hardware Level Protocol Resources and Going Further Introduction In this tutorial,

More information

EE445L Fall 2012 Quiz 2B Page 1 of 6

EE445L Fall 2012 Quiz 2B Page 1 of 6 EE445L Fall 2012 Quiz 2B Page 1 of 6 Jonathan W. Valvano First: Last: November 16, 2012, 10:00-10:50am. Open book, open notes, calculator (no laptops, phones, devices with screens larger than a TI-89 calculator,

More information

QUICK START GUIDE FOR DEMONSTRATION CIRCUIT 1110 SINGLE, PARALLEL, IOUT, 16-BIT DAC

QUICK START GUIDE FOR DEMONSTRATION CIRCUIT 1110 SINGLE, PARALLEL, IOUT, 16-BIT DAC DESCRIPTION QUICK START GUIDE FOR DEMONSTRATION CIRCUIT 1110 LTC2751-16 Demonstration circuit 1110 features the LTC2751 16- Bit SoftSpan Iout DAC. This device has six output ranges, 0 to 5V, and 0 to 10V,

More information

MAXSANTAFEEVSYS User Manual

MAXSANTAFEEVSYS User Manual MAXSANTAFEEVSYS User Manual Rev 0; 5/14 For pricing, delivery, and ordering information, please contact Maxim Direct at 1-888-629-4642, or visit Maxim Integrated s website at www.maximintegrated.com. Maxim

More information

FPGA Algorithm Development Using a Graphical Environment

FPGA Algorithm Development Using a Graphical Environment FPGA Algorithm Development Using a Graphical Environment GRETINA Electronics Working Group July 25, 2004 RIS Corp. R. Todd S. Pauly* ORNL Physics Division J. Pavan D. C. Radford July 2004 1 Overview Motivation

More information

An Efficient Design of Vedic Multiplier using New Encoding Scheme

An Efficient Design of Vedic Multiplier using New Encoding Scheme An Efficient Design of Vedic Multiplier using New Encoding Scheme Jai Skand Tripathi P.G Student, United College of Engineering & Research, India Priya Keerti Tripathi P.G Student, Jaypee University of

More information

Design of an Efficient FSM for an Implementation of AMBA AHB in SD Host Controller

Design of an Efficient FSM for an Implementation of AMBA AHB in SD Host Controller Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 4, Issue. 11, November 2015,

More information

Netaji Subhas Institute of Technology, New Delhi

Netaji Subhas Institute of Technology, New Delhi Netaji Subhas Institute of Technology, New Delhi Microprocessors Lab (EC-316) CRICKET SCORE DISPLAY (MPU 8085 Project Report) Under the supervision of Prof. Dhananjay V.Gadre DEPTT. OF ELECTRONICS AND

More information

Hardware Design of Safety Arming Mechanism using FPGA

Hardware Design of Safety Arming Mechanism using FPGA Hardware Design of Safety Arming Mechanism using FPGA Manoj Kumar Reddy.T.D ECE Department, Jawaharlal Nehru Technological University, Anantapur, Andhra Pradesh, India. manoj.deshai@gmail.com, Analogic

More information