Adaptive Test. 1.0 Adaptive Test definition

Size: px
Start display at page:

Download "Adaptive Test. 1.0 Adaptive Test definition"

Transcription

1 Adaptive Test Adaptive Test use is increasing because of its ability to lower test cost, to increase yield, to provide better quality and reliability and to improve data collection for yield learning well beyond what has been possible historically with static optimization methods. Adaptive Test realizes these benefits by providing an infrastructure for continually optimizing IC test within the constraints of pre-defined rules. To fully exploit Adaptive Test, it is important to understand its general principles, as well as specific methods and skills required to use it. This document is intended to provide: 1) An introduction to Adaptive Test and a descriptions of emerging applications; 2) Specific examples of Adaptive Test applications that are in use today and others that will be in the future 3) A description of the challenges of Adaptive Test 4) The emerging requirements to ensure the industry is able to fully exploit Adaptive Test 1.0 Adaptive Test definition Adaptive Test is an industry term for the practice of going beyond the use of fixed limits and invariant test flows and operations, by using data that has been collected during the process of IC manufacturing to influence, change, or adapt how a device or system is tested, or to alter its manufacturing flow. Techniques such as Statistical Process Control (SPC) and Part Average Testing (PAT) are two well known methods, but there are many other techniques currently in use. (PAT is described in the AEC-Q001 documents at Adaptive Test is generally accepted as an advanced test strategy that can be used to achieve quality, yield, and cost goals that might not be reached by normal test methods. The intent of Adaptive Test is to increase the quality and reliability of products and to identify abnormal parts as early in the manufacturing sequence as possible (preferably at wafer test) and add tests or change test conditions to screen the riskier material. (There are also Adaptive test methods that may selectively skip test content on specific material to save costs.) Effective screening of riskier material can minimize costs related to customer support and failure analysis, and provide early feedback to prevent the occurrence of other quality incidents. Adaptive Test may modify a production test process in any of five ways: 1) Test Conditions (modifying voltage or clock frequency such as VDD) 2) Manufacturing Flows (adding or deleting test insertions such as burn-in) 3) Test Content (adding or deleting specific patterns or tests such as transition fault or IDDQ, respectively) 4) Test Limits (changing the pass/fail limits such as DC power or Vdd-min test specifications) 5) Test Outcomes (changing the binning of some die based on post-test analysis of the die s test results) In addition to rejecting the outright failing parts, manufacturing test is essential to meet the quality goals of outgoing manufactured parts. Adaptive Test offers new possibilities to detect and contain excursions and limit the effects of the excursion experienced by the customer. To do so, Adaptive Test must successfully navigate the risks associated with lower test times and higher yield by monitoring some of the manufactured parts with complete test flows. Adaptive Test applications can be categorized based on when the decisions to make one or more modifications listed and to which device(s) the modifications are applied. The four most common categories are in-situ, feed-forward, feedback and post-test. Figure XXX is a flow diagram depicting the relationships between these four categories. 1) In-situ: Data collected from the part being tested is used to modify the testing of the same device during the same test insertion. Speed grading is an example of the In-situ category where the data from the device is used to change the conditions of the test plan for the same device. Another example common to analog components would be trim and device calibration. 2) Feed-forward: Data collected from a previous test step stage (e.g. probe, hot probe, burn-in) is used to change how the same parts are tested at a future stage. An example of the Feed-forward category are statistical methods which identify risky dice or wafers and selects these components (only) for burn-in. 3) Feed-back: Data collected from a previous part (or parts) is used to modify the tests or limits of different devices yet to be tested. Skipping some test patterns on high yield wafers or adding more tests to low yield wafers, are examples of this category.

2 4) Post-Test: Data sample statistics or other analysis is performed between test steps and is used to reclassify certain devices or to change future manufacturing flow and test conditions for these devices. Part Average Testing and outlier identification methods are examples of the Post-Test category. Figure XX 2.0 Example Applications Below is a list of example Adaptive Test applications. Each example is labeled by one or two categories outlined earlier. In addition to clarifying the categories, the examples demonstrate the shift from manual and static methods to automatic methods with little or no human intervention during test execution. Note that the use of electronic die/chip ID (e.g., a die-specific identifier such as wafer/xy coordinate that is fused on each die) is a key enabler for many of these applications. 1) Dynamic test flow changes (In-situ, Feed-forward): Die production data is monitored within the test program to add or remove tests, to selectively perform per die characterization for yield learning, or to collect data for later diagnosis. This application supports many common real-time Statistical Process Control methods. 2) Statistical screening (Post-Test, Feed-forward): After wafer or lot data collection, identify die which are outliers or mavericks as possible sources of Defects per Million (DPM test escapes ) spikes or reliability failures. Statistical screening is Feed-forward because results can be used to route target dies through test flows different from the main flow. 3) Single-step flow control (Feed-forward): Data from one test step is used to optimize testing at the next test step to focus subsequent screening on issues observed in the manufactured parts. For example, inline-test modifies wafer-test; wafer-test modifies package-test; burn-in modifies final-test; or package-test modifies card/system-test. 4) Off-tester optimization of test flows (Feed-back): Off-tester data analysis drives test flow changes for future devices (fully automated). For example, off-line analysis could optimize test flows, test content and test measurement routines using input from many sources including historical data, test capacity, required turnaround times, DPM requirements, expected yields and parametric data. 5) Production monitors and alerts (In-situ, Feed-forward, Feed-back): Data from multiple sources is merged for statistical analysis to control production test optimization beyond what has historically been possible. For example, subtle parametric shifts from marginal wafer probe contacting can be automatically identified and action taken. 6) Die matching (Feed-forward, Post-test): Production data from various sources is used to support the build/test process for multi-chip applications and many of today s board build process to match specific die

3 combinations during assembly. Note die-matching data transfer may require world-wide data sharing, across multiple companies and throughout the entire supply chain. 7) On-chip test structures and sensors (In-Situ, Feed-forward, Feed-back): Data collected from auxiliary onchip test structures such as ring oscillators, selected critical paths, on-chip voltage and thermal sensors, or onchip reliability monitors is used to modify the die s test content, test limits or future test flow. 8) On-chip configuration (In-situ, Feed-forward): Production test data (including test structure data) is used to adjustment features in the design to improve die s performance, power, margin or reliability. 9) Card/System configuration and test (Feed-forward, Post-test): Component test results (such as parametric data, yield characteristics or partial good data) are used to customize the card/system test flow or customize card/system test conditions. 10) Adaptive Diagnostics (In-situ, Feed-forward): need to described based on Franco s input. 3.0 Adaptive Test Architecture / Flows Figure YYY displays a model of the entire End-to-End flow of parts under test and Adaptive Test applications. Note that there are feed-forward, in-situ, feed-back and post-test dispositioning opportunities at each test step. Although this figure shows a simple view of the database, the actual database structure would probably consistent of 2-3 databases levels each with unique capacity and latency capabilities. FAB ETest Optical Inspection Other inline data Assembly/Build Data RT A/O stands for Real-Time Analysis & Optimization which includes In-situ, Feed-forward and Feed-back categories Wafer Probe RT A/O stands for Post-Test Analysis & Dispositioning Assembly Operations RT A/O (includes any level of build or assembly operation) Burn-in RT A/O Final Test RT A/O Fab data Design data Business data Customer specs Databases & Automated Data Analysis ( Databases may include multiple databases throughout the supply chain. Analysis stands for any of the four categories of adaptive test.) Card/System Test Field Operation Part Flow

4 Figure YYY Adaptive Test Architecture / Flow 4.0 Levels of Adaptation & Data Model Making the decisions to adapt any of the test attributes listed above first involves collecting the right data (which test programs already do well) and then organizing the data into a structured data model so the right data can be accessed when and where it is needed. At the appropriate time, data of the proper scope, that is data from a particular test run or data from a particular part, wafer, or lot, is accessed from the data model and processed by the applicable decision algorithms. Similarly, the test variables, such as limits, conditions, flow, content, must be changed at the right time to complete the adaptation decision. The data model can exist entirely in a server database apart from the tester, or be distributed between servers and the tester depending on the latency requirements and convenience. Latency is the time between when data is available and when it was requested. To branch a test flow for a particular part (a real-time decision) latency must be short, i.e. there can be no significant impact to test time. To support low latency requirements, the data needs to either be stored on the tester or rapidly pulled into the tester. To make an outlier decision such as re-bin some already tested parts, longer latencies are tolerated such as from the time of test until the time material is shipped. Longer latencies mean an off-line database can be used. Decisions to adapt a test are often based on comparing the variation observed on the sample of parts in question to a model of the expected variation. In outlier detection, parametric test limits are adapted to track expected variation so as to only discard parts with unexpected variation. Tests can be temporarily dropped from a test flow when their control charts show the manufactured material and test process is in control and within specification. If and when monitoring based on sample testing shows the material or test process has changed and gone out of control, the tests are reinstated on every part. Similarly, diagnostic tests can be added to a test flow when certain types of failures occur more frequently than expected. A simple example of an expected variance model is the Gaussian distribution (mean and standard deviation) which is often used to identify outliers in static PAT. In static PAT the two parameters are determined by characterizing an earlier sample of parts. Static PAT makes the assumption ) the characterization parts and the parts currently tested are samples from the same Gaussian distribution and current part outliers are similar to the characterization outliers. Similarly, control chart Cp and Cpk values track when manufactured parts have gone out of control and can no longer be sample tested. A variance model and the decision based upon it can have varying levels of adaptability depending on the understanding of the sources of change and the scope of the data needed to establish a change has happened. For example in static PAT, outliers are any parts with test values greater than a predetermined multiple of the standard deviation computed from the characterization sample even if they are within the design or use specifications. Should the process shift, static PAT will discard many more parts. To account for lot or wafer process shifts, the mean and standard deviation can be recomputed for each lot or wafer. Called dynamic PAT, the variance model has changed to track each lot or wafer. Computing the distribution parameters for each wafer means the outlier decision-making is delayed until after the entire wafer s test response has been collected. In this example, the scope is said to be wafer-level. In general data, scope is the minimum sample that must be acquired before adaptive decision-making is possible for a test or collection of tests. Generally, more adaptability means more frequent decision-making in the test flow with the goal of improving the trade-off between defect level from freeing the guilty and overkill from convicting the innocent or balancing test false negative error rates and test false positive error rates, respectively. Adaptability follows a bottom-up progression from the conventional static limit, to static parameter variance model (static PAT), to a variance model with variable parameters (dynamic PAT), to choosing variance model equations based upon well-grounded principles. Moving up this progression requires not only more data but also a better understanding of the processes that cause the test response to vary. This progression also means the decision-making generally moves from an off-line human activity to an on-line machine activity. The decision algorithms used for Adaptive Test fall into several categories. These can be applied to data over a range of data scopes from a single part, to a wafer or a lot or more. 1) Adaptive Limits (Outlier Detection) a) Statistical Distribution i) Univariate Distributions of variables taken individually.

5 (1) Simple distribution parameters e.g., mean and standard deviation of Gaussian. (2) Robust distribution estimators e.g., IQR or Gaussian. (3) Difference methods e.g., adjacent rank delta. ii) Multivariate Distribution of multiple variables taken collectively. (1) Functional dependence e.g., linear regression. (2) Joint variation e.g., principal or independent component analysis. b) Spatial (Geographic) i) Single wafer same wafer as die in question, e.g., nearest neighbor & location averaging ii) Wafer stack same x, y location as die in question, e.g., compare values across wafers for same x, y iii) Combined same wafer and wafer stack e.g., unit-level predicted yield (ULPY) 2) Adaptive Flow or Test Content a) Control charting Probability of sequential occurrences b) Cluster (commonality) analysis c) Bayesian methods Another classification is between continuous variables (parametric tests) and discrete variables (pass/fail tests and yields). 5.0 Adaptive Test Infrastructure (data exchange, databases, etc.) Adaptive Test involves making decisions throughout the manufacturing process with algorithms of varying complexity using data of varying detail from multiple sources. Therefore it requires structuring more data in new ways for new uses compared to traditional testing. Adaptive Test data processing happens at various levels, as indicated in Figure YYY. Local processing in the test cell requires low latency. ) For example access latency should be in a few milliseconds, if data is to be retrieved on a per device level (Real-Time Analysis & Optimization (RT A/O). Post-Test Analysis & Dispositioning () applications may have latency requirements of a few seconds to a few minutes. Normally data volumes for these steps would be relatively small. Processing in a central database (e.g., The Cloud ) has more relaxed timing constraints (minutes, hours), but typically deal with much larger data volumes Since Adaptive Test decisions affect the quality of shipped goods, data retention requirements depend on specific market requirement (which may exceed 10 years in some cases). While we grow to be increasingly more comfortable with cloud based solutions in many areas, the test cell integration of Adaptive Test algorithms may prove to be one of the most challenging applications. Historically, decisions made local to the test cell (such as clean probe-card now) were mainly designed to guarantee the test cell health, hence were under the sole responsibility of the test floor. Adaptive Test changes this paradigm in a number of ways: Algorithms will be owned by multiple involved parties, including wafer fab, design house and test floor. Some algorithms may originate from commercial providers, others from the involved parties themselves. They all need be executed smoothly next to each other in a real-time environment. Data collection as well as data access (e.g., to upstream data in case of data feed-forward) becomes a mission critical task of a test operation as well as the entire supply chain. This challenges the reliability of the underlying infrastructure, which likely spans multiple companies, geographic areas and cultures. Likely, one wants to simulate the impact of algorithms on historical databases to understand how to maximize the value of Adaptive Test without creating adverse side effects. This requires the exact same algorithm to be executed in as diverse environments as a cloud database and a test cell measuring real-time data. As a consequence, industry needs to develop APIs to allow algorithms to plug into a diverse set of environments. Data exchange formats which are flexible, compact and standardized so that only minimal extraction and translation effort is required. A common set of indices is required, such that data remains identifiable and traceable even across heterogeneous supply chains.

6 Recipe management systems which can handle a diverse set of recipe origins, check for (likely un-intended) interactions and maintain consistency across non-synchronized update cycles from the various origins. Version control systems for these recipes are also required. Execution systems must be enabled to monitor the health of Adaptive Test algorithms (are basic assumptions met?) and escalate errors to the right entities in an actionable format. 6.0 Implications for ATE and the Test Cell Historically test cells have been little more than pass fail filters with the ability to log test results. With the emphasis on test cost the innovations in test have been focused on reducing necessary tests, testing more devices in parallel and reducing the capital cost of equipment. Even with these efforts the reduction in the cost of test has not kept pace with the cost reductions seen elsewhere. For Adaptive Test to be seen as a viable addition, the test cell needs to change to support its needs without additional test cost while at the same time reducing overall costs. Much like memory test, it is expected that future devices will include redundant sections, repairable subsystems and software-driven calibrations. With these options available, Adaptive Test will be needed to optimally configure and test these parts. At the same time precision measurements will be needed for feed back to prior process steps enabling end to end process optimization. This will force a move from statically determined tests and flows to dynamic (per device) flows. We see future test cells which can perform the following: Per device test flows based on external inputs, the device itself, and dynamic business rules Zero cost logging of data for use in the Adaptive Test process in a database like format A move from being the entire cell controller to a test engine with a standard API Support for asynchronous and distributed (multi-insertion) test flows For analog & mixed-signal devices -- the ability to perform structural (model-based) testing over functional Status The CAST group within SEMI is in the process of developing standards for data collection and test cell control. ( ) There are many devices which currently use software driven calibrations. There are some examples of model based testing as a faster alternative to functional testing It is generally left to the test programmer to adapt existing test cells to support these features. 7.0 Test results driving Adaptive Designs More and more designs are being reconfigured during testing. Examples include partial goods (on-chip redundancy), VDD/frequency adjustment and local clock tuning. In most cases this product personalization will be based on either test measurements or data feed-forward from other operations. In some cases, this reconfiguration will be based on application demand. 7.1 Testing resilient features Resilient features are on-chip structures which can be used to configure the product to work around hard defects or confer tolerance to latent defects. These structures span a wide range of circuits and architectures, including fuses, redundant memory elements, architectures capable of operating on reduced numbers of logic elements like CPU cores or graphics components, error-detection and -retry schemes for hard and soft errors, and the sensing and control circuitry for adaptive designs. Like every other circuit, these structures must be themselves tested and characterized, though these circuits present unique testing challenges beyond standard logic and memory elements, including temporary configurations (for fuses), soft-repair vs. hard-repair validation (for memories), combinatorial explosion of down-cored variants of redundant features, the need for error injection to test recovery circuits, and analog stimulus for sensors (such as voltage or aging monitors). 7.2 Non-deterministic device behavior: test and run time availability Non-determinism is incompatible with traditional cycle-accurate automated test equipment, but is nonetheless becoming typical on modern SOCs. Several new I/O protocols are non-deterministic, as are the standard methods to avoid metastability in clock-domain crossings (which are commonplace in highly integrated devices). Fine-grained power gating and power-state management can change the configuration of a device and its execution profile during test and

7 normal operation. Adaptive designs take this notion even further with architectural features which can perform state rollback and pipeline retry based on events at arbitrary times during execution. The result is that test patterns, particularly functional patterns which execute in mission mode, must either prevent or be tolerant of non-deterministic response. The former raises coverage questions, the latter pattern and ATE interface challenges. 7.3 Testing adaptive designs For adaptive designs, establishing the operating point and electrical margin of an SOC, or portions thereof, is no longer an event done once on the test floor. Instead, on-chip sensors are used to detect the workload, voltage, temperature, and timing margin of the chip as it operates and dynamically adjusts power supplies, clock frequencies, thermal control, and even the instruction stream. Not only does this approach require careful testing of the (interrelated) feedback control system components, it also runs counter to the traditional ATEcentric method of measuring at fixed corners to verify performance parameters and could introduce a much larger space over which the device must be tested. The adaptive features of a design make it much harder to define (and thus characterize) both typical and worst-case use models, which in turn makes it more difficult to test appropriately. Furthermore, the removal of excess margin represented by traditional guardbanding increases the risk of exposure to subtle defects, necessitating both higher coverage and better correlation between structural and functional test modes. An emerging direction is to apply Adaptive Test techniques (which modify the parameters or content of a test program based on information collected about the device under test from the current or previous test insertions) to adaptive designs (which modify their own operating point or execution flows based on internally generated feedback). The proclivity of an adaptive design to compensate for the environment in which it is being (functionally) tested will present challenges for data gathering by the Adaptive Test process. Possible solutions involve disabling the adaptive design features and treating the device as if it were static or allowing adaptation by the design, but always monitoring and logging the adaptations as part of the data supplied to the adaptive test process. 8.0 Adaptive Manufacturing An emerging direction is using test results to drive other IC production steps such as packaging multi-chip products. ) For example, the card/board assembly operation may require that specific dies or types of dies to be used on specific boards based on previous test results. Given the emergence of multi-chip packages (such as 3DICs) and power constraints, specific bare dies will need to be selected for assembly based on parametric data collected at test such as IDD or power/performance measurements. Key challenges of End-to-End data feed-forward for assembly operations include: Cross company data management Robust data availability Data security Full traceability Data format standardization 9.0 Implications of Adaptive Test for Card/System/Field Adaptive Test methodologies described in this document for IC level testing can be equally extended and applied to board and system testing and even field usage. While ICs have traditionally been tested standalone in an almost noisefree ATE environment and/or tested with limited structural tests to see whether they perform to their specifications, the board/system environment can be quite different in terms of noise, timing margin, voltage and functional test trigger conditions that structural tests were unable to produce. Improved board yield and IC DPM can be improved significantly where adaptive test that includes the board/system level performance is able drive enhanced screening both at the IC suppliers test and/or board/system manufacturing test. The four types of Adaptive Test described in Section 1 (In-situ, Feed-forward, Feed-back and Post-test) can all be extended to include the board and system manufacturing. Some examples of adaptive test methods for board and system include: The feed-forward application could be where specific fabrication process and component test parameters (e.g. Vdd-min or wafer x,y location) are included in the board test program to make decisions on whether to add in specific extra coverage to test for marginality.

8 The feedback and post-test applications might be where a pareto of the board level failures per Electronic Chip ID of a specified component type are sent to the supplier, so they can analyze whether certain fails correlate to any of the fab and test parameters. If so, then the supplier can adjust their tests or bins. In-situ test could be where the reading of an on-chip sensor for voltage or temperature might enable more or less stressful board conditions to be applied to check for margin and performance. On-chip sensors can also be read during field usage to monitor aging, and data can be sent back to the suppliers to adjust their test limits. One of difficulties to extend the chip-level adaptive test to board/system or even in-field test is to track their test trigger conditions and be able to convert between them. For example, chip-level scan-based logic gate test may not be always applicable for board/system/in-field tests due to the difficulties or impossibilities to control the scan chain data, clock pulse, non-stoppable in-field online function executions, etc. Similarly, a functional execution, which can be treated as a functional test may be hard to convert to a chip level ATE test because the function execution could involve memory contents, their transactions, logic and I/O data flow, etc. Therefore, tracking the test/failure conditions and the capability to convert between them is the key for adaptive test extension to board/system level. IEEE provides guidance here; the new update enhances for Adaptive Test by standardizing infrastructure needed for tracking and in-situ board level test of an IC. Tracking is performed by the standardization of the Electronic Chip ID in the standard. In-situ board level test of an IC is supported by the standardization of IC reset control necessary for internal IC initialization (via IC_RESET instruction) and I/O isolation (via CLAMP_HOLD) such that the I/O are isolated from noise and system level activity while IC level test patterns are applied. The architecture is such as to mitigate false failures which would exacerbate the problem of good data collection for Adaptive Test. While all the above examples are useful and feasible, they require extensive data infrastructure, analysis, exchange and security. Companies providing ICs, board design and test need to openly collaborate on a technical and business level to be successful. Table - Implications of Adaptive Test ) Challenge IT Infrastructure Traceability Real-Time Communications Development of Improved Models & Algorithms Required Direction Infrastructure to enable the Adaptive Test flow End-to-end supply chain data integration including data from Fabs, Test Houses and other Subcons. Develop supply chain data integration and processes which automatically detect supply chain issues and implement corrective actions in near real-time. Integrate multiple databases, flexible logistics system, and full part tracking at each test step, and feed-forward/feed-backward data flows. Enable full traceability of Adaptive Test parameters (limits, content, flows, and rules) for each die. (accessible anytime in future) Electronic chip ID is a key enabler of traceability Develop tester-to/from-data analysis engine communication without significantly impacting test time. Development of methods where the models are not fixed instead the models are dynamically adjusted based on DUT responses. Develop peripheral coverage metrics and associated quality impact of dropped or modified tests. More encompassing fault coverage metrics are required particularly for analog circuits.

Future Trends One Mann s Opinion

Future Trends One Mann s Opinion Future Trends One Mann s Opinion Bill Mann General Chair - SWTW Southwest Test Workshop Newport Beach, CA 92663 949-645-3294 william.mann@ieee.org Future Trends One Mann s Opinion Relative Reduction in

More information

IC Testing and Development in Semiconductor Area

IC Testing and Development in Semiconductor Area IC Testing and Development in Semiconductor Area Prepare by Lee Zhang, 2004 Outline 1. Electronic Industry Development 2. Semiconductor Industry Development 4Electronic Industry Development Electronic

More information

Highly Parallel Wafer Level Reliability Systems with PXI SMUs

Highly Parallel Wafer Level Reliability Systems with PXI SMUs Highly Parallel Wafer Level Reliability Systems with PXI SMUs Submitted by National Instruments Overview Reliability testing has long served as a method of ensuring that semiconductor devices maintain

More information

Why Quality Depends on Big Data

Why Quality Depends on Big Data Why Quality Depends on Big Data Korea Test Conference Michael Schuldenfrei, CTO Who are Optimal+? 2 Company Overview Optimal+ provides Manufacturing Intelligence software that delivers realtime, big data

More information

EE434 ASIC & Digital Systems Testing

EE434 ASIC & Digital Systems Testing EE434 ASIC & Digital Systems Testing Spring 2015 Dae Hyun Kim daehyun@eecs.wsu.edu 1 Introduction VLSI realization process Verification and test Ideal and real tests Costs of testing Roles of testing A

More information

TSV Test. Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea

TSV Test. Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea TSV Test Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea # Agenda TSV Test Issues Reliability and Burn-in High Frequency Test at Probe (HFTAP) TSV Probing Issues DFT Opportunities

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information

SEE Tolerant Self-Calibrating Simple Fractional-N PLL

SEE Tolerant Self-Calibrating Simple Fractional-N PLL SEE Tolerant Self-Calibrating Simple Fractional-N PLL Robert L. Shuler, Avionic Systems Division, NASA Johnson Space Center, Houston, TX 77058 Li Chen, Department of Electrical Engineering, University

More information

Functional Safety and Safety Standards: Challenges and Comparison of Solutions AA309

Functional Safety and Safety Standards: Challenges and Comparison of Solutions AA309 June 25th, 2007 Functional Safety and Safety Standards: Challenges and Comparison of Solutions AA309 Christopher Temple Automotive Systems Technology Manager Overview Functional Safety Basics Functional

More information

Lecture 2 VLSI Testing Process and Equipment

Lecture 2 VLSI Testing Process and Equipment Lecture 2 VLSI Testing Process and Equipment Motivation Types of Testing Test Specifications and Plan Test Programming Test Data Analysis Automatic Test Equipment Parametric Testing Summary VLSI Test:

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 8(2) I DDQ Current Testing (Chapter 13) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Learning aims Describe the

More information

Early Design Review of Boundary Scan in Enhancing Testability and Optimization of Test Strategy

Early Design Review of Boundary Scan in Enhancing Testability and Optimization of Test Strategy Early Design Review of Boundary Scan in Enhancing Testability and Optimization of Test Strategy Sivakumar Vijayakumar Keysight Technologies Singapore Abstract With complexities of PCB design scaling and

More information

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:!

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:! Acknowledgements! Introduction and Overview! Mani Soma! l Some materials from various sources! n Dr. Phil Nigh, IBM! n Principles of Testing Electronic Systems by S. Mourad and Y. Zorian! n Essentials

More information

ENG04057 Teste de Sistema Integrados. Prof. Eric Ericson Fabris (Marcelo Lubaszewski)

ENG04057 Teste de Sistema Integrados. Prof. Eric Ericson Fabris (Marcelo Lubaszewski) ENG04057 Teste de Sistema Integrados Prof. Eric Ericson Fabris (Marcelo Lubaszewski) Março 2011 Slides adapted from ABRAMOVICI, M.; BREUER, M.; FRIEDMAN, A. Digital Systems Testing and Testable Design.

More information

Nanometer technologies enable higher-frequency designs

Nanometer technologies enable higher-frequency designs By Ron Press & Jeff Boyer Easily Implement PLL Clock Switching for At-Speed Test By taking advantage of pattern-generation features, a simple logic design can utilize phase-locked-loop clocks for accurate

More information

Implementing FDC in the Wafer Dicing Process to Improve Product Quality

Implementing FDC in the Wafer Dicing Process to Improve Product Quality Implementing FDC in the Wafer Dicing Process to Improve Product Quality Advanced Process Control Conference XXIX 2017 Austin Airport Hilton Hotel, Austin, Texas Henry Hsu, Joe Hung, Sophia Lin, Vincent

More information

Real Time Contact Resistance Measurement & Control. Tony Schmitz Erwin Barret

Real Time Contact Resistance Measurement & Control. Tony Schmitz Erwin Barret Real Time Contact Resistance Measurement & Control Tony Schmitz Erwin Barret Introduction Agenda Why is CRES control important? Objectives of Real Time Method Offline Method Limitations & Goals of Real

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 10 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Content Manufacturing Defects Wafer defects Chip defects Board defects system defects

More information

Optimal Clustering and Statistical Identification of Defective ICs using I DDQ Testing

Optimal Clustering and Statistical Identification of Defective ICs using I DDQ Testing Optimal Clustering and Statistical Identification of Defective ICs using I DDQ Testing A. Rao +, A.P. Jayasumana * and Y.K. Malaiya* *Colorado State University, Fort Collins, CO 8523 + PalmChip Corporation,

More information

Impact of DFT Techniques on Wafer Probe

Impact of DFT Techniques on Wafer Probe Impact of DFT Techniques on Wafer Probe Ron Leckie, CEO, INFRASTRUCTURE ron@infras.com Co-author: Charlie McDonald, LogicVision charlie@lvision.com The Embedded Test Company TM Agenda INFRASTRUCTURE Introduction

More information

Design and Synthesis for Test

Design and Synthesis for Test TDTS 80 Lecture 6 Design and Synthesis for Test Zebo Peng Embedded Systems Laboratory IDA, Linköping University Testing and its Current Practice To meet user s quality requirements. Testing aims at the

More information

CROWNE: Current Ratio Outliers With Neighbor Estimator

CROWNE: Current Ratio Outliers With Neighbor Estimator OWNE: Current Ratio Outliers With Neighbor Estimator Sagar S. Sabade D. M. H. Walker Department of Computer Science Texas A&M University College Station, TX 77843-32 Tel: (979) 862-4387 Fax: (979) 847-8578

More information

CHAPTER 3 ASYNCHRONOUS PIPELINE CONTROLLER

CHAPTER 3 ASYNCHRONOUS PIPELINE CONTROLLER 84 CHAPTER 3 ASYNCHRONOUS PIPELINE CONTROLLER 3.1 INTRODUCTION The introduction of several new asynchronous designs which provides high throughput and low latency is the significance of this chapter. The

More information

Testing Principle Verification Testing

Testing Principle Verification Testing ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Test Process and Test Equipment Overview Objective Types of testing Verification testing Characterization testing Manufacturing testing Acceptance

More information

March 4-7, 2018 Hilton Phoenix / Mesa Hotel Mesa, Arizona Archive

March 4-7, 2018 Hilton Phoenix / Mesa Hotel Mesa, Arizona Archive March 4-7, 2018 Hilton Phoenix / Mesa Hotel Mesa, Arizona Archive 2018 BiTS Workshop Image: pilgrims49 / istock COPYRIGHT NOTICE The presentation(s)/poster(s) in this publication comprise the Proceedings

More information

Distributed Control Systems (DCS)

Distributed Control Systems (DCS) Training Title Distributed Control Systems (DCS) Training Duration 5 days Training Venue and Dates Distributed Control Systems (DCS) 5 13-17 May $3,300 Abu Dhabi In any of the 5 star hotel. The exact venue

More information

Battery Stack Management Makes another Leap Forward

Battery Stack Management Makes another Leap Forward Battery Stack Management Makes another Leap Forward By Greg Zimmer Sr. Product Marketing Engineer, Signal Conditioning Products Linear Technology Corp. Any doubts about the viability of electric vehicles

More information

Setting the Test Standard for Tomorrow. Nasdaq: AEHR

Setting the Test Standard for Tomorrow. Nasdaq: AEHR Setting the Test Standard for Tomorrow Nasdaq: AEHR Forward Looking Statements This presentation contains forward-looking statements that involve risks and uncertainties relating to projections regarding

More information

Multi-site Probing for Wafer-Level Reliability

Multi-site Probing for Wafer-Level Reliability Multi-site Probing for Wafer-Level Reliability Louis Solis De Ancona 1 Sharad Prasad 2, David Pachura 2 1 Agilent Technologies 2 LSI Logic Corporation s Outline Introduction Multi-Site Probing Challenges

More information

ZKLWHýSDSHU. 3UHð)DLOXUHý:DUUDQW\ý 0LQLPL]LQJý8QSODQQHGý'RZQWLPH. +3ý 1HW6HUYHUý 0DQDJHPHQW. Executive Summary. A Closer Look

ZKLWHýSDSHU. 3UHð)DLOXUHý:DUUDQW\ý 0LQLPL]LQJý8QSODQQHGý'RZQWLPH. +3ý 1HW6HUYHUý 0DQDJHPHQW. Executive Summary. A Closer Look 3UHð)DLOXUHý:DUUDQW\ý 0LQLPL]LQJý8QSODQQHGý'RZQWLPH ZKLWHýSDSHU Executive Summary The Hewlett-Packard Pre-Failure Warranty 1 helps you run your business with less downtime. It extends the advantage of

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

UNIT IV CMOS TESTING

UNIT IV CMOS TESTING UNIT IV CMOS TESTING 1. Mention the levels at which testing of a chip can be done? At the wafer level At the packaged-chip level At the board level At the system level In the field 2. What is meant by

More information

SOFTWARE LIFE-CYCLE MODELS 2.1

SOFTWARE LIFE-CYCLE MODELS 2.1 SOFTWARE LIFE-CYCLE MODELS 2.1 Outline Software development in theory and practice Software life-cycle models Comparison of life-cycle models 2.2 Software Development in Theory Ideally, software is developed

More information

5. Current Sharing in Power Arrays

5. Current Sharing in Power Arrays Maxi, Mini, Micro Family s and Configurable Power Supplies Whenever power supplies or converters are operated in a parallel configuration whether for higher output power, fault tolerance or both current

More information

About the Instructor

About the Instructor About the Instructor Kwang-Ting (Tim) Cheng PhD, 1988, Univ. of California, Berkeley 1988-1993: AT&T Bell Labs 1993-Present: Professor, Dept. of ECE, Univ. of California, Santa Barbara 1999-2002: Director,

More information

Cluster-based approach eases clock tree synthesis

Cluster-based approach eases clock tree synthesis Page 1 of 5 EE Times: Design News Cluster-based approach eases clock tree synthesis Udhaya Kumar (11/14/2005 9:00 AM EST) URL: http://www.eetimes.com/showarticle.jhtml?articleid=173601961 Clock network

More information

Introduction to Wafer Level Burn-In. William R. Mann General Chairman Southwest Test Workshop

Introduction to Wafer Level Burn-In. William R. Mann General Chairman Southwest Test Workshop Introduction to Wafer Level Burn-In William R. Mann General Chairman Southwest Test Workshop Outline Conventional Burn In and Problems Wafer Level BI Driving Factors Initial Die Level BI Technical Challenges

More information

Beyond Soft IP Quality to Predictable Soft IP Reuse TSMC 2013 Open Innovation Platform Presented at Ecosystem Forum, 2013

Beyond Soft IP Quality to Predictable Soft IP Reuse TSMC 2013 Open Innovation Platform Presented at Ecosystem Forum, 2013 Beyond Soft IP Quality to Predictable Soft IP Reuse TSMC 2013 Open Innovation Platform Presented at Ecosystem Forum, 2013 Agenda Soft IP Quality Establishing a Baseline With TSMC Soft IP Quality What We

More information

All Programmable: from Silicon to System

All Programmable: from Silicon to System All Programmable: from Silicon to System Ivo Bolsens, Senior Vice President & CTO Page 1 Moore s Law: The Technology Pipeline Page 2 Industry Debates Variability Page 3 Industry Debates on Cost Page 4

More information

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 Addressable Test Chip Technology for IC Design and Manufacturing Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 IC Design & Manufacturing Trends Both logic and memory

More information

High Quality, Low Cost Test

High Quality, Low Cost Test Datasheet High Quality, Low Cost Test Overview is a comprehensive synthesis-based test solution for compression and advanced design-for-test that addresses the cost challenges of testing complex designs.

More information

Advanced Computer Architecture (CS620)

Advanced Computer Architecture (CS620) Advanced Computer Architecture (CS620) Background: Good understanding of computer organization (eg.cs220), basic computer architecture (eg.cs221) and knowledge of probability, statistics and modeling (eg.cs433).

More information

Chap 2. Introduction to Software Testing

Chap 2. Introduction to Software Testing Chap 2. Introduction to Software Testing 2.1 Software Testing Concepts and Processes 2.2 Test Management 1 2.1 Software Testing Concepts and Processes 1. Introduction 2. Testing Dimensions 3. Test Concepts

More information

Selecting PLLs for ASIC Applications Requires Tradeoffs

Selecting PLLs for ASIC Applications Requires Tradeoffs Selecting PLLs for ASIC Applications Requires Tradeoffs John G. Maneatis, Ph.., President, True Circuits, Inc. Los Altos, California October 7, 2004 Phase-Locked Loops (PLLs) are commonly used to perform

More information

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions 04/15/14 1 Introduction: Low Power Technology Process Hardware Architecture Software Multi VTH Low-power circuits Parallelism

More information

Concurrent Testing with RF

Concurrent Testing with RF Concurrent Testing with RF Jeff Brenner Verigy US EK Tan Verigy Singapore go/semi March 2010 1 Introduction Integration of multiple functional cores can be accomplished through the development of either

More information

Low Power System-on-Chip Design Chapters 3-4

Low Power System-on-Chip Design Chapters 3-4 1 Low Power System-on-Chip Design Chapters 3-4 Tomasz Patyk 2 Chapter 3: Multi-Voltage Design Challenges in Multi-Voltage Designs Voltage Scaling Interfaces Timing Issues in Multi-Voltage Designs Power

More information

Implementation of Automated Equipment Monitoring in a Highly Flexible Semiconductor Production Line. Semicon 2012, Dresden TechARENA

Implementation of Automated Equipment Monitoring in a Highly Flexible Semiconductor Production Line. Semicon 2012, Dresden TechARENA Implementation of Automated Equipment Monitoring in a Highly Flexible Semiconductor Production Line Semicon 2012, Dresden TechARENA Günter Leditzky October, 10th, 2012 ams AG Agenda ams AG Overview Focus

More information

Embedded Quality for Test. Yervant Zorian LogicVision, Inc.

Embedded Quality for Test. Yervant Zorian LogicVision, Inc. Embedded Quality for Test Yervant Zorian LogicVision, Inc. Electronics Industry Achieved Successful Penetration in Diverse Domains Electronics Industry (cont( cont) Met User Quality Requirements satisfying

More information

DO-254 Testing of High Speed FPGA Interfaces by Nir Weintroub, CEO, and Sani Jabsheh, Verisense

DO-254 Testing of High Speed FPGA Interfaces by Nir Weintroub, CEO, and Sani Jabsheh, Verisense DO-254 Testing of High Speed FPGA Interfaces by Nir Weintroub, CEO, and Sani Jabsheh, Verisense As the complexity of electronics for airborne applications continues to rise, an increasing number of applications

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

THE VMTURBO CLOUD CONTROL PLANE

THE VMTURBO CLOUD CONTROL PLANE THE VMTURBO CLOUD CONTROL PLANE Software-Driven Control for the Software-Defined Data Center EXECUTIVE SUMMARY The Software-Defined Datacenter (SDDC) has the potential to extend the agility, operational

More information

1 INTRODUCTION. Solder paste deposits on grid array of soldering pads. SPI system integration in a PCB assembly production line

1 INTRODUCTION. Solder paste deposits on grid array of soldering pads. SPI system integration in a PCB assembly production line 1 INTRODUCTION Test Research Inc. (TRI) designs, manufactures and markets precision test equipment for the world s leading electronics manufacturing service (EMS) companies. Product lines include Automated

More information

MediaTek Overview AI/5G-enabled Systems Test Challenges Systems Orientation New Opportunities

MediaTek Overview AI/5G-enabled Systems Test Challenges Systems Orientation New Opportunities MediaTek Overview AI/5G-enabled Systems Test Challenges Systems Orientation New Opportunities Source: www.datasciencecentral.com/profiles/blogs/artificial-intelligence-vs-machine-learning-vs-deep-learning

More information

Diagnosis in the Time-Triggered Architecture

Diagnosis in the Time-Triggered Architecture TU Wien 1 Diagnosis in the Time-Triggered Architecture H. Kopetz June 2010 Embedded Systems 2 An Embedded System is a Cyber-Physical System (CPS) that consists of two subsystems: A physical subsystem the

More information

Mark Sandstrom ThroughPuter, Inc.

Mark Sandstrom ThroughPuter, Inc. Hardware Implemented Scheduler, Placer, Inter-Task Communications and IO System Functions for Many Processors Dynamically Shared among Multiple Applications Mark Sandstrom ThroughPuter, Inc mark@throughputercom

More information

Requests for Clarifications And Responses Order No. 754 Data Request The Study of Single Point of Failure

Requests for Clarifications And Responses Order No. 754 Data Request The Study of Single Point of Failure Requests for Clarifications And Responses Order No. 754 Data Request The Study of Single Point of Failure Revised: July 12, 2013 Introduction The following information includes requests for clarification

More information

FAULT TOLERANT SYSTEMS

FAULT TOLERANT SYSTEMS FAULT TOLERANT SYSTEMS http://www.ecs.umass.edu/ece/koren/faulttolerantsystems Part 18 Chapter 7 Case Studies Part.18.1 Introduction Illustrate practical use of methods described previously Highlight fault-tolerance

More information

Automation Intelligence Enlighten your automation mind..!

Automation Intelligence Enlighten your automation mind..! Friends, It brings us immense pleasure to introduce Automation intelligence a knowledge series about automation, innovation, solutions & Technology. This series will discuss about PLC, DCS, Drives, SCADA,

More information

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group I N V E N T I V E DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group Moore s Law & More : Tall And Thin More than Moore: Diversification Moore s

More information

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function.

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function. FPGA Logic block of an FPGA can be configured in such a way that it can provide functionality as simple as that of transistor or as complex as that of a microprocessor. It can used to implement different

More information

Collaborative Alliance for Semiconductor Test (CAST) Special Interest Group (SIG) Rich Interactive Test Data Base (RITdb) 2017

Collaborative Alliance for Semiconductor Test (CAST) Special Interest Group (SIG) Rich Interactive Test Data Base (RITdb) 2017 Collaborative Alliance for Semiconductor Test (CAST) Special Interest Group (SIG) Rich Interactive Test Data Base (RITdb) 2017 Streaming RITdb is a new standard which is intended to go beyond STDF SEMI

More information

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors)

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors) 1 COEN-4730 Computer Architecture Lecture 12 Testing and Design for Testability (focus: processors) Cristinel Ababei Dept. of Electrical and Computer Engineering Marquette University 1 Outline Testing

More information

COE 561 Digital System Design & Synthesis Introduction

COE 561 Digital System Design & Synthesis Introduction 1 COE 561 Digital System Design & Synthesis Introduction Dr. Aiman H. El-Maleh Computer Engineering Department King Fahd University of Petroleum & Minerals Outline Course Topics Microelectronics Design

More information

Curve Tracing Systems

Curve Tracing Systems Curve Tracing Systems Models Available MultiTrace: The most flexible solution for devices up to 625 pins, capable of any of the applications described here. Comes with a PGA-625 fixture MegaTrace: A larger

More information

Applying Supervised Learning

Applying Supervised Learning Applying Supervised Learning When to Consider Supervised Learning A supervised learning algorithm takes a known set of input data (the training set) and known responses to the data (output), and trains

More information

A Case for Custom Power Management ASIC

A Case for Custom Power Management ASIC A Case for Custom Power Management ASIC By Cirel Systems Introduction The mobile device explosion seems to continue without a pause. The convergence of functionalities on a palm top device is fuelling

More information

HOME :: FPGA ENCYCLOPEDIA :: ARCHIVES :: MEDIA KIT :: SUBSCRIBE

HOME :: FPGA ENCYCLOPEDIA :: ARCHIVES :: MEDIA KIT :: SUBSCRIBE Page 1 of 8 HOME :: FPGA ENCYCLOPEDIA :: ARCHIVES :: MEDIA KIT :: SUBSCRIBE FPGA I/O When To Go Serial by Brock J. LaMeres, Agilent Technologies Ads by Google Physical Synthesis Tools Learn How to Solve

More information

Digital VLSI Testing Prof. Santanu Chattopadhyay Department of Electronics and EC Engineering India Institute of Technology, Kharagpur.

Digital VLSI Testing Prof. Santanu Chattopadhyay Department of Electronics and EC Engineering India Institute of Technology, Kharagpur. Digital VLSI Testing Prof. Santanu Chattopadhyay Department of Electronics and EC Engineering India Institute of Technology, Kharagpur Lecture 05 DFT Next we will look into the topic design for testability,

More information

Kee Sup Kim Samsung Electronics. ramework for Massively Parallel esting at Wafer and Package Test

Kee Sup Kim Samsung Electronics. ramework for Massively Parallel esting at Wafer and Package Test Kee Sup Kim Samsung Electronics ramework for Massively Parallel esting at Wafer and Package Test Key Message Massively parallel testing Possible Positive Return DFT Can be exciting 3 Outline Introduction

More information

Ch 5 Industrial Control Systems

Ch 5 Industrial Control Systems Ch 5 Industrial Control Systems Sections: 1. Process Industries vs. Discrete Manufacturing Industries 2. Continuous vs. Discrete Control 3. Computer Process Control Industrial Control - Defined The automatic

More information

Boundary Scan. Sungho Kang. Yonsei University

Boundary Scan. Sungho Kang. Yonsei University Boundary Scan Sungho Kang Yonsei University Outiline Introduction TAP Controller Instruction Register Test Data Registers Instructions Hardware Test Innovations PCB Test Conclusion 2 Boundary Scan Improve

More information

High-speed I/O test: The ATE paradigm must change

High-speed I/O test: The ATE paradigm must change High-speed I/O test: The ATE paradigm must change 2005 VLSI Test Symposium Session 4C Burnie West May 2005 Outline The brave new world Test methodology PHY testing Functional testing ATE specifications

More information

INTERNATIONAL TELECOMMUNICATION UNION

INTERNATIONAL TELECOMMUNICATION UNION INTERNATIONAL TELECOMMUNICATION UNION TELECOMMUNICATION STANDARDIZATION SECTOR STUDY PERIOD 21-24 English only Questions: 12 and 16/12 Geneva, 27-31 January 23 STUDY GROUP 12 DELAYED CONTRIBUTION 98 Source:

More information

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141 ECE 637 Integrated VLSI Circuits Introduction EE141 1 Introduction Course Details Instructor Mohab Anis; manis@vlsi.uwaterloo.ca Text Digital Integrated Circuits, Jan Rabaey, Prentice Hall, 2 nd edition

More information

Benefiting from Industrial Ethernet at the Device Level with Smart Remote I/O and Peer-to-Peer Technology

Benefiting from Industrial Ethernet at the Device Level with Smart Remote I/O and Peer-to-Peer Technology Benefiting from Industrial Ethernet at the Device Level with Smart Remote I/O and Peer-to-Peer Technology Written by: Peishan Juan, Advantech Corporation, Industrial Automation Group There can be too much

More information

A Universal Micro-Server Ecosystem Exceeding the Energy and Performance Scaling Boundaries

A Universal Micro-Server Ecosystem Exceeding the Energy and Performance Scaling Boundaries A Universal Micro-Server Ecosystem Exceeding the Energy and Performance Scaling Boundaries www.uniserver2020.eu UniServer facilitates the advent of IoT solutions through the adoption of a distributed infrastructure

More information

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Pierre Dautriche Jean-Paul Morin Advanced CMOS and analog. Embedded analog Embedded RF 0.5 um 0.18um 65nm 28nm FDSOI 0.25um 0.13um 45nm 1997

More information

INTERCONNECT TESTING WITH BOUNDARY SCAN

INTERCONNECT TESTING WITH BOUNDARY SCAN INTERCONNECT TESTING WITH BOUNDARY SCAN Paul Wagner Honeywell, Inc. Solid State Electronics Division 12001 State Highway 55 Plymouth, Minnesota 55441 Abstract Boundary scan is a structured design technique

More information

Shortest path to the lab. Real-world verification. Probes provide observability

Shortest path to the lab. Real-world verification. Probes provide observability OVM/UVM for FPGAs: The End of Burn and Churn FPGA Verification by In-Circuit Test Burn and churn based on at-speed test with real input 2 Shortest path to the lab Nominal simulation of RTL blocks Relatively

More information

ProASIC PLUS SSO and Pin Placement Guidelines

ProASIC PLUS SSO and Pin Placement Guidelines Application Note AC264 ProASIC PLUS SSO and Pin Placement Guidelines Table of Contents Introduction................................................ 1 SSO Data.................................................

More information

Accelerating CDC Verification Closure on Gate-Level Designs

Accelerating CDC Verification Closure on Gate-Level Designs Accelerating CDC Verification Closure on Gate-Level Designs Anwesha Choudhury, Ashish Hari anwesha_choudhary@mentor.com, ashish_hari@mentor.com Design Verification Technologies Mentor Graphics Abstract:

More information

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation Datasheet Create a Better Starting Point for Faster Physical Implementation Overview Continuing the trend of delivering innovative synthesis technology, Design Compiler Graphical streamlines the flow for

More information

Burn-in & Test Socket Workshop WELCOME. March 2-5, 2003 Hilton Phoenix East / Mesa Hotel Mesa, Arizona

Burn-in & Test Socket Workshop WELCOME. March 2-5, 2003 Hilton Phoenix East / Mesa Hotel Mesa, Arizona Burn-in & Test Socket Workshop WELCOME March 2-5, 2003 Hilton Phoenix East / Mesa Hotel Mesa, Arizona Sponsored By The IEEE Computer Society Test Technology Technical Council tttc COPYRIGHT NOTICE The

More information

DATA MINING AND WAREHOUSING

DATA MINING AND WAREHOUSING DATA MINING AND WAREHOUSING Qno Question Answer 1 Define data warehouse? Data warehouse is a subject oriented, integrated, time-variant, and nonvolatile collection of data that supports management's decision-making

More information

Navigating the RTL to System Continuum

Navigating the RTL to System Continuum Navigating the RTL to System Continuum Calypto Design Systems, Inc. www.calypto.com Copyright 2005 Calypto Design Systems, Inc. - 1 - The rapidly evolving semiconductor industry has always relied on innovation

More information

Whitepaper: FPGA-Controlled Test (FCT): What it is and why is it needed?

Whitepaper: FPGA-Controlled Test (FCT): What it is and why is it needed? Whitepaper: FPGA-Controlled Test (FCT): What it is and why is it needed? By Al Crouch Chief Technologist, Core Instrumentation ASSET InterTech ASSET InterTech, Inc. 2201 N. Central Expressway, Suite 105

More information

Emerging IC Testing Methods

Emerging IC Testing Methods Emerging IC Testing Methods Major changes are being driven by new Technologies and Quality & Cost requirements Phil Nigh phil.nigh@globalfoundries.com Purpose Overview of current testing methods Description

More information

The Design and Implementation of a Low-Latency On-Chip Network

The Design and Implementation of a Low-Latency On-Chip Network The Design and Implementation of a Low-Latency On-Chip Network Robert Mullins 11 th Asia and South Pacific Design Automation Conference (ASP-DAC), Jan 24-27 th, 2006, Yokohama, Japan. Introduction Current

More information

Driving 3D Chip and Circuit Board Test Into High Gear

Driving 3D Chip and Circuit Board Test Into High Gear Driving 3D Chip and Circuit Board Test Into High Gear Al Crouch ASSET InterTech, Inc. Emerging Standards and 3D Chip Test Taken independently, the pending ratification of one IEEE standard and the recent

More information

Page 1. Outline. A Good Reference and a Caveat. Testing. ECE 254 / CPS 225 Fault Tolerant and Testable Computing Systems. Testing and Design for Test

Page 1. Outline. A Good Reference and a Caveat. Testing. ECE 254 / CPS 225 Fault Tolerant and Testable Computing Systems. Testing and Design for Test Page Outline ECE 254 / CPS 225 Fault Tolerant and Testable Computing Systems Testing and Design for Test Copyright 24 Daniel J. Sorin Duke University Introduction and Terminology Test Generation for Single

More information

DESIGNER S NOTEBOOK Proximity Detection and Link Budget By Tom Dunn July 2011

DESIGNER S NOTEBOOK Proximity Detection and Link Budget By Tom Dunn July 2011 INTELLIGENT OPTO SENSOR Number 38 DESIGNER S NOTEBOOK Proximity Detection and Link Budget By Tom Dunn July 2011 Overview TAOS proximity sensors operate by flashing an infrared (IR) light towards a surface

More information

Prognostic/Diagnostic Health Management (PHM) System for FAB Efficiency

Prognostic/Diagnostic Health Management (PHM) System for FAB Efficiency Prognostic/Diagnostic Health Management (PHM) System for FAB Efficiency Chin Sun csun@qwiksinc.com 1 May 22-24, 2006 ASMC 2006 Boston, Massachusetts C. Sun Slide 1 Outline Introduction Industry Trend PHM

More information

Analog ASICs in industrial applications

Analog ASICs in industrial applications Analog ASICs in industrial applications Customised IC solutions for sensor interface applications in industrial electronics the requirements and the possibilities Synopsis Industrial electronics creates

More information

Design for Test of Digital Systems TDDC33

Design for Test of Digital Systems TDDC33 Course Outline Design for Test of Digital Systems TDDC33 Erik Larsson Department of Computer Science Introduction; Manufacturing, Wafer sort, Final test, Board and System Test, Defects, and Faults Test

More information

How AlienVault ICS SIEM Supports Compliance with CFATS

How AlienVault ICS SIEM Supports Compliance with CFATS How AlienVault ICS SIEM Supports Compliance with CFATS (Chemical Facility Anti-Terrorism Standards) The U.S. Department of Homeland Security has released an interim rule that imposes comprehensive federal

More information

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Charles Stroud, Ping Chen, Srinivasa Konala, Dept. of Electrical Engineering University of Kentucky and Miron Abramovici

More information

2. TOPOLOGICAL PATTERN ANALYSIS

2. TOPOLOGICAL PATTERN ANALYSIS Methodology for analyzing and quantifying design style changes and complexity using topological patterns Jason P. Cain a, Ya-Chieh Lai b, Frank Gennari b, Jason Sweis b a Advanced Micro Devices, 7171 Southwest

More information

On-Chip Design Verification with Xilinx FPGAs

On-Chip Design Verification with Xilinx FPGAs On-Chip Design Verification with Xilinx FPGAs Application Note 1456 Xilinx Virtex-II Pro devices have redefined FPGAs. The Virtex-II Pro brings with it not only a denser and faster FPGA, but an IBM PPC

More information

Simulation-Based Test Program Synthesis Reduces Analog Test Definition Time From 6 Months to 6 Weeks

Simulation-Based Test Program Synthesis Reduces Analog Test Definition Time From 6 Months to 6 Weeks Simulation-Based Test Program Synthesis Reduces Analog Test Definition Time From 6 Months to 6 Weeks By Harry Dill President Deep Creek Technologies Phoenix, Arizona Simulation-based test program synthesis

More information