Embedded Quality for Test. Yervant Zorian LogicVision, Inc.

Size: px
Start display at page:

Download "Embedded Quality for Test. Yervant Zorian LogicVision, Inc."

Transcription

1 Embedded Quality for Test Yervant Zorian LogicVision, Inc.

2 Electronics Industry Achieved Successful Penetration in Diverse Domains

3 Electronics Industry (cont( cont) Met User Quality Requirements satisfying users to buy products again Created an Unprecedented Dependency - market-driven product Users Competitors Electronic Product

4 Quality Requirements at Chip Level Manufacturing Test for Chips To achieve board defect levels < 1% To maintain ATE cost Diagnostics for Chip Manufacturing To enable physical failure analysis To enhance yield by enabling repair for large memories

5 Quality Requirements at Board Level Board Level Manufacturing Test Full Coverage of board level defects Chip failures (handling, stress, infant mortality) Diagnostics for Board Level Manufacturing To determine failed interconnect or chip To enable speedy repair

6 Conventional Test Flow Functional Patterns Deterministic ATPG with Scan Path Full Pin Count Automatic Test Equipment

7 Emerging Electronics Industry Products Shift to Communications and Connectivity Maintain competitive edge by providing: Greater Product Functionality Lower Cost (product life cycle) Reduced Time-to-Profitability Higher Quality and Reliability

8 Emerging Infrastructure The internet runs on silicon. Andy Grove The information superhighway is paved in silicon. Scott McNealey

9 Emerging Industry Trends Caused new technologies: Greater Complexity Increased Performance Higher Density Lower Power Dissipation

10 The Key Challenge To meet Users Quality Requirements for new Technologies To assure New Products are adequately: Tested, Verified, Measured, Debugged, Repaired,...

11 Emerging New Challenges Observe Implications on Product Realization Analyze Existing Quality Assurance Approaches Identify Challenges Demonstrate Potential Solutions Design Manufacturing Diag. & Yield Field Service

12 Design Challenge: Quality Implication: # of Transistors per pin (Testing Complexity Index) increased internal speed vs external Testing Complexity Index - [#Tr. per Pin] 1.60E E E E E E E E+ 0 Implications of SIA Roadmap: Testing Year F. Size [mm] Source: W. Maly, 1996 Source: SIA Roadma Design Manufacturing Diag. & Yield Field Service

13 Design Challenge: Quality Leverage Internal Bandwidth vs External Bandwidth System-on-Chip ASIC I/O Drivers off-chip bus 32-bits I/O Drivers DRAM ASIC on-chip bus 128/512-bits DRAM

14 Design Challenge: Quality External Bandwidth max bits of data/time from ATE to IC Internal Bandwidth max bits of data/time from on- chip test resource to embedded core Bandwidth Gap Bandwidth Gap Y1995 Y1998 Y2001 Y2004 Y2007 Y2010 Internal Bdw. (Capacity*IntFreq External Bdw (IO*ExtFreq)

15 Design Challenge: Quality External Test Data Volume can be extremely high (function of chip complexity) Requires deep tester memory for scan I/O pins Slow test throughput with long scan chains, especially for core-based designs External Test Super Tester Pattern Generation Precision Timing Diagnostics Power Management Test Control Very high pin count Deep memory Slow throughput Memory Logic. Mixed- Signal I/Os & Interconnects

16 Design Challenge: Quality Solution: Dedicated Built-In H/W for embedded test functions Repartition tester into embedded test and external test functions Include low H/W cost and high data volume embedded-quality for test External Test Standard Digital Tester Limited Speed/ Accuracy Low Cost-per-Pin Embedded Test (Built-in) Pattern Generation Result Compression Precision Timing Diagnostics Power Management Test Control Support for Board-level Test System-Level Test Memory Logic. Mixed- Signal I/Os & Interconnects Chip, Board or System Source: LogicVision

17 Design Challenge: Quality Source/ Sink Source/ Sink Test Access Scan Paths External Test External Test Embedded Test Source/ Sink High- Bandwidth Source/ Sink Source/ Sink Low - bandwidth High bandwidth External Test Embedded Test External Test Embedded Test

18 Design Challenge: TTM Implication: Emergence of reusable core-based design Multiple hardware description levels (hard, soft, firm) Standard IC functions (library elements) and legacy cores Source: VSI Alliance

19 SOC Market Growth 30 Worldwide Revenue (Billions of Dollars) Source: Dataquest

20 Paradigm Shift in SOC Design System on a Chip System on a board

21 Design Challenge: TTM SOC manufactured and tested in single stage Core I/Os often more than Chip I/Os Test generation difficult due to limited core design knowledge Direct access to core ports unavailable Core & UDL test part of System-On-Chip test System-on-Board (SOB) Process IC Design IC Manuf. IC Test SOB Design SOB Manuf. SOB Test ASIC Design ASIC Manuf. ASIC Test System-on-Chip (SOC) Process Core Design UDL Design SOC Integration SOC Manuf. SOC Test

22 Design Challenge: TTM Built-in dedicated H/W to create autonomous internal core test Built-in dedicate System- On-Chip test optimization Built-In standard core test interface for each core

23 Design Challenge: TTM IEEE P1500 (9/96) develops a standard for embedded core test interface comprised of: Standard Core Test Information Model (CTL) using a Standard Description Language (STIL) Standard Core Test Wrapper and Control Mechanism Phase 1: Dual Compliance for Digital Cores (1149.1) Phase 2: Analog Cores (1149.4), Testability Guidelines VSI Manufacturing Test Related DWG supports IEEE P1500 standardization

24 Design Challenge: TTM BIST Enabled Core TP Glue Logic BIST Enabled Core TP M BIST Memory BIST M BIST Boundary Scan Chain Logic BIST BIST Enabled Core TP Glue Logic Logic BIST BIST Enabled Core TP Boundary Scan Chain M BIST M BIST Logic BIST Logic BIST Logic BIST TAP TDI TCK TMS TRST TDO

25 Design Challenge: Quality Implication: Increased chip internal speed (ASSP with 200MHz+, Microprocessors with 1 GHz+) Multiple and overlapping clock domains Multi-cycle data paths Complex clock distribution Recent SEMATECH study revealed criticality of performance faults

26 Design Challenge: Quality High speed ATE substantially more expensive ATE vs Chip Technology discrepancy: Tester uses 5 year old technology - chips move to next generation every 2 years ATE accuracy degrading: Chip cycle time will crossover ATE accuracy Time in ns, Yield loss in % device speed (ns) projected yield loss (%) 1 ATE OTA(nS ns)

27 Design Challenge: Quality Solution: Built-In dedicated H/W to run Built-In Self-Test at-speed and detect performance faults Major move for mainstream systems to implement at-speed BIST for production test Source: Sun Microsystems

28 Manufacturing Challenge: Test Cost Embed in a single chip: Logic, Analog, DRAM blocks Embed advanced technology blocks: FPGA, Flash, RF/Microwave Beyond Electronic blocks: MEMS Optical elements Logic Core Memory µp Logic Memory Logic Mixed Signal Memory Logic Design Manufacturing Diag. & Yield Field Service

29 Manufacturing Challenge: Test Cost W(5FB 8A3) R(4C2 9E0) Logic W(5FB 8A3) R(4C2 9E0) Functional Tester Logic µp Mixed Signal Mixed-Signal Tester W(5FB 8A3) R(4C2 9E0) Core Memory Logic W(5FB 8A3) R(4C2 9E0) Logic Tester Memory Memory Logic Memory Tester Multiple Insertions or Super ATE!

30 Moore s Law for Test Cost of Silicon Mfg and Test Si capital / transistor Test capital / transistor cost: cents /transistor Based on SIA Roadmap Data

31 Manufacturing Challenge: Test Cost JTAG 4 Pin Interface Low Cost Sort Machine with gicvision BIST Access Software Logic µp Logic Mixed Signal I/O s tri-stated no critical timing Core Memory Logic If full scan already exists hen the dues are already paid Memory Memory Logic LogicVision s Embedded Test

32 Manufacturing Challenge: Quality High Density Chips: Advanced Semiconductor Technology with very high density number of millions of transistors per sq. cm. will increase by a factor of three in next five years Test Escape due to unmodeled faults (ex: SOI process, Copper Interconnect) Use built-in dedicated h/w to execute fault model independent pseudo-random pattern based test

33 Manufacturing Challenge: Quality Challenge: High performance board and MCM applications (ex: 500MHz chip-to-chip) Require Chip-to-Chip Interconnect test for static and dynamic faults Source: Stratus Computers

34 Manufacturing Challenge: Quality Solution: IEEE Boundary- Scan for static faults At-Speed Interconnect Test requires Built-In dedicated H/W Source: Stratus Computers

35 Diagnosis & Yield Challenge: Cost Implication: Large Embedded: SRAM, DRAM, Flash Beyond certain size memories necessitate redundancy/repair during manufacturing test (and field reliability) Requires Logic ATE, Memory ATE, Laser Repair Equipment, and Redundancy Analysis Design Manufacturing Diag. & Yield Field Service

36 Diagnosis & Yield Challenge: Cost Two reconfiguration strategies: 1. Hard: Built-in dedicated h/w for Redundancy Analysis and external repair (fuse blow) 2. Soft: Built-In dedicated reconfiguration mechanism using BISR (test repeatability) Adopted by several chip manufacturers WAFER Memory test Analysis & Reconf Memory retest Logic test (wafer) Packaging Logic test (package) Pass/Fail Testing/Repair of ASIC with Large Embedded Memory Memory Repair

37 Diagnosis & Yield Challenge: TTM Advanced Packaging Technologies Increased use of Flip-Chip Technology: Flip-Chip design dedicates a metal layer for area array interconnects (Direct Chip Attach, Chip Scale Packaging, BGA and MCM) Increased use of Fine-Pitch Technology: probing limitations due to miniaturized package technologies (FC, CSP) -> very expensive probe cards Increased use of bare die usage -> inadequacy of conventional package test (Direct Chip Attach, MCM)

38 Diagnosis & Yield Challenge: TTM Conventional failure mode analysis based on E-beam can not be used with flip-chip Solution: Use Embedded Quality functions for diagnosis: stop-on-error, data logging for memories, and scan-based diagnosis for random logic. This can be leveraged for interactive at- speed diagnosis. Flip-chip die

39 Diagnosis & Yield Challenge: TTM Conventional failure mode analysis based on E-beam can not be used with flip-chip Solution: Use Embedded Quality functions for diagnosis: stop-on-error, data logging for memories, and scan-based diagnosis for random logic. This can be leveraged for interactive at- speed diagnosis. Flip-chip die

40 Diagnosis & Yield Challenge: TTM Source HPL, Inc

41 Diagnosis & Yield Challenge: TTM Source HPL, In

42 Field Challenge: Quality Challenge: Increase Impact of Cosmic Radiation on Sea Level Solutions: Embedded test dedicated h/w function for On-line BIST to detect transient (soft) errors Design Manufacturing Diag. & Yield Field Service

43 Field Challenge: Cost, TTM Design and Manufacturing of Complex Boards and Systems costs too much and takes too long Test development cost is 35% of total product development time Test, Diagnosis and Repair cost for complex systems reaches 40-50% of total product realization cost

44 Hierarchical Embedded Test OS / Software Interface (accessible by network) at the Application layer Service Kernel Software Operating System and Applications ATE / Hardware Interface (accessible by connector) for Manufacturing or Field EQ Hardware EQ EQ Box Board SOC Reuse of dedicated built-in quality hardware Source: LogicVision

45 Field Challenge: Cost, TTM Leveraging dedicated Embedded Quality function drastically reduces test development interval and test costs Without Built-In Quality With Built-In Quality 29.4 % % Test Cost as % of Total Life Cycle Cost Source: AeroSpace Study

46 Conventional Quality Paradox Design Test Management Manufacturing Test Management It is really not my problem, but I will do what I can to help out. I have little control on the design process! I will buy the best testers possible and perform as much testing as possible to ensure the expected quality.

47 Test Resource Partitioning External ATE DFT Methods Logic Tester Memory Tester Mixed Signal Tester Processor Tester Board Tester Embedded Test H/W Automation Tools Test Programs Diagnostic Data Scan Design Isolation & Access Random Pattern BIST Algorithmic BIST TAP/BSCAN A Solution that Combines the Best of DFT and ATE

48 Conclusions To ensure quality of electronic products using emerging technologies: Embedded Quality functions are added into the high level designs of embedded cores, SOCs,, board and systems A range of Embedded Quality functions provide adequate test, verification, diagnosis, debug, measurement and repair Design Manufacturing Diag. & Yield Field Service

49 Thank YOU for your attention

Testable SOC Design. Sungho Kang

Testable SOC Design. Sungho Kang Testable SOC Design Sungho Kang 2001.10.5 Outline Introduction SOC Test Challenges IEEE P1500 SOC Test Strategies Conclusion 2 SOC Design Evolution Emergence of very large transistor counts on a single

More information

Impact of DFT Techniques on Wafer Probe

Impact of DFT Techniques on Wafer Probe Impact of DFT Techniques on Wafer Probe Ron Leckie, CEO, INFRASTRUCTURE ron@infras.com Co-author: Charlie McDonald, LogicVision charlie@lvision.com The Embedded Test Company TM Agenda INFRASTRUCTURE Introduction

More information

SSoCC'01 4/3/01. Specific BIST Architectures. Gert Jervan Embedded Systems Laboratory (ESLAB) Linköping University

SSoCC'01 4/3/01. Specific BIST Architectures. Gert Jervan Embedded Systems Laboratory (ESLAB) Linköping University Specific BIST Architectures Gert Jervan Embedded Systems Laboratory (ESLAB) Linköping University General Concepts Test-per-scan architectures Multiple scan chains Test-per-clock architectures BIST conclusions

More information

Digital Integrated Circuits

Digital Integrated Circuits Digital Integrated Circuits Lecture Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University Design/manufacture Process Chung EPC655 2 Design/manufacture Process Chung EPC655 3 Layout

More information

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics DFT Trends in the More than Moore Era Stephen Pateras Mentor Graphics steve_pateras@mentor.com Silicon Valley Test Conference 2011 1 Outline Semiconductor Technology Trends DFT in relation to: Increasing

More information

Burn-in & Test Socket Workshop

Burn-in & Test Socket Workshop Burn-in & Test Socket Workshop IEEE March 4-7, 2001 Hilton Mesa Pavilion Hotel Mesa, Arizona IEEE COMPUTER SOCIETY Sponsored By The IEEE Computer Society Test Technology Technical Council COPYRIGHT NOTICE

More information

IC Testing and Development in Semiconductor Area

IC Testing and Development in Semiconductor Area IC Testing and Development in Semiconductor Area Prepare by Lee Zhang, 2004 Outline 1. Electronic Industry Development 2. Semiconductor Industry Development 4Electronic Industry Development Electronic

More information

Jin-Fu Li. Department of Electrical Engineering. Jhongli, Taiwan

Jin-Fu Li. Department of Electrical Engineering. Jhongli, Taiwan Chapter 9 Basics of SOC Testing Jin-Fu Li Advanced Reliable Systems (ARES) Lab Department of Electrical Engineering National Central University Jhongli, Taiwan Outline Introduction SOC Test Challenge SOC

More information

A Review paper on the Memory Built-In Self-Repair with Redundancy Logic

A Review paper on the Memory Built-In Self-Repair with Redundancy Logic International Journal of Engineering and Applied Sciences (IJEAS) A Review paper on the Memory Built-In Self-Repair with Redundancy Logic Er. Ashwin Tilak, Prof. Dr.Y.P.Singh Abstract The Present review

More information

EE434 ASIC & Digital Systems Testing

EE434 ASIC & Digital Systems Testing EE434 ASIC & Digital Systems Testing Spring 2015 Dae Hyun Kim daehyun@eecs.wsu.edu 1 Introduction VLSI realization process Verification and test Ideal and real tests Costs of testing Roles of testing A

More information

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group I N V E N T I V E DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group Moore s Law & More : Tall And Thin More than Moore: Diversification Moore s

More information

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors)

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors) 1 COEN-4730 Computer Architecture Lecture 12 Testing and Design for Testability (focus: processors) Cristinel Ababei Dept. of Electrical and Computer Engineering Marquette University 1 Outline Testing

More information

Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of Printed Circuit Board Assembly

Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of Printed Circuit Board Assembly Expanding IEEE Std 1149.1 Boundary-Scan Architecture Beyond Manufacturing Test of Printed Circuit Board Assembly Jun Balangue Keysight Technologies Singapore Jun_balangue@keysight.com Abstract This paper

More information

Design and Synthesis for Test

Design and Synthesis for Test TDTS 80 Lecture 6 Design and Synthesis for Test Zebo Peng Embedded Systems Laboratory IDA, Linköping University Testing and its Current Practice To meet user s quality requirements. Testing aims at the

More information

IEEE P1500 Core Test Standardization

IEEE P1500 Core Test Standardization Technical Proposals for IEEE P1500 Core Test Standardization Erik Jan Marinissen Research Laboratories Eindhoven, The Netherlands P1500 Meeting ITC Test Week, Washington D.C., November, 1997 Technical

More information

VLSI System Testing. Lecture 1 Introduction Class website: people.ee.duke.edu/~krish/teaching/538.html

VLSI System Testing. Lecture 1 Introduction Class website: people.ee.duke.edu/~krish/teaching/538.html ECE 538 VLSI System Testing Krish Chakrabarty Lecture 1: Overview Krish Chakrabarty 1 Lecture 1 Introduction Class website: people.ee.duke.edu/~krish/teaching/538.html VLSI realization process Verification

More information

High Quality, Low Cost Test

High Quality, Low Cost Test Datasheet High Quality, Low Cost Test Overview is a comprehensive synthesis-based test solution for compression and advanced design-for-test that addresses the cost challenges of testing complex designs.

More information

Trend in microelectronics The design process and tasks Different design paradigms Basic terminology The test problems

Trend in microelectronics The design process and tasks Different design paradigms Basic terminology The test problems Electronics Systems Trend in microelectronics The design process and tasks Different design paradigms Basic terminology The test problems The Technological Trend # of trans. 100M 75M 50M Moore s Law (#

More information

SoC Design Lecture 14: SoC Testing. Shaahin Hessabi Department of Computer Engineering Sharif University of Technology

SoC Design Lecture 14: SoC Testing. Shaahin Hessabi Department of Computer Engineering Sharif University of Technology SoC Design Lecture 14: SoC Testing Shaahin Hessabi Department of Computer Engineering Sharif University of Technology Outline Introduction to Testing Importance of SoC Testing Challenges of SoC Testing

More information

Testing Embedded-Core Based System Chips

Testing Embedded-Core Based System Chips Testing Embedded-Core Based System Chips Yervant Zorian Erik Jan Marinissen Sujit Dey LogicVision 101 Metro Drive Third floor San Jose, CA 95110 U.S.A. zorian@lvision.com Philips Research Dept. VLSI Design

More information

SoC Design Flow & Tools: SoC Testing

SoC Design Flow & Tools: SoC Testing SoC Design Flow & Tools: SoC Testing Jiun-Lang Huang Graduate Institute of Electronics Engineering Department of Electrical Engineering National Taiwan University Outline l SoC Test Challenges l Test Access

More information

More Course Information

More Course Information More Course Information Labs and lectures are both important Labs: cover more on hands-on design/tool/flow issues Lectures: important in terms of basic concepts and fundamentals Do well in labs Do well

More information

Keysight Technologies Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of PCBA

Keysight Technologies Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of PCBA Keysight Technologies Expanding IEEE Std 1149.1 Boundary-Scan Architecture Beyond Manufacturing Test of PCBA Article Reprint This paper was first published in the 2017 IPC APEX Technical Conference, CA,

More information

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008 Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008 / DEVICE 1.E+03 1.E+02 1.E+01 1.E+00 1.E-01 1.E-02 1.E-03 1.E-04 1.E-05 1.E-06 1.E-07 Productivity Gains

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 10 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Content Manufacturing Defects Wafer defects Chip defects Board defects system defects

More information

ENG04057 Teste de Sistema Integrados. Prof. Eric Ericson Fabris (Marcelo Lubaszewski)

ENG04057 Teste de Sistema Integrados. Prof. Eric Ericson Fabris (Marcelo Lubaszewski) ENG04057 Teste de Sistema Integrados Prof. Eric Ericson Fabris (Marcelo Lubaszewski) Março 2011 Slides adapted from ABRAMOVICI, M.; BREUER, M.; FRIEDMAN, A. Digital Systems Testing and Testable Design.

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

Elektroonikaproduktide Testimine (P6)

Elektroonikaproduktide Testimine (P6) CEBE seminar Jäneda, June 17, 2013 Elektroonikaproduktide Testimine (P6) Tallinn University of Technology Dept. of Computer Engineering Estonia Artur Jutman Presentation Outline No Trouble Found & Embedded

More information

IEEE P1500, a Standard for System on Chip DFT

IEEE P1500, a Standard for System on Chip DFT page 1(6) IEEE P1500, a Standard for System on Chip DFT Kim Petersén HDC, Hardware Design Center 723 50 Västerås Sweden Email: kim.petersen@hdc.se key words: IP, DFT, SoC, BIST, BISR ABSTRACT This document

More information

Early Design Review of Boundary Scan in Enhancing Testability and Optimization of Test Strategy

Early Design Review of Boundary Scan in Enhancing Testability and Optimization of Test Strategy Early Design Review of Boundary Scan in Enhancing Testability and Optimization of Test Strategy Sivakumar Vijayakumar Keysight Technologies Singapore Abstract With complexities of PCB design scaling and

More information

IEEE JTAG Boundary Scan Standard

IEEE JTAG Boundary Scan Standard IEEE 1149.1 JTAG Boundary Scan Standard Bed-of-nails tester Motivation System view of boundary scan hardware Elementary scan cell Test Access Port (TAP) controller Boundary scan instructions Example *Joint

More information

JTAG TAP CONTROLLER PROGRAMMING USING FPGA BOARD

JTAG TAP CONTROLLER PROGRAMMING USING FPGA BOARD JTAG TAP CONTROLLER PROGRAMMING USING FPGA BOARD 1 MOHAMED JEBRAN.P, 2 SHIREEN FATHIMA, 3 JYOTHI M 1,2 Assistant Professor, Department of ECE, HKBKCE, Bangalore-45. 3 Software Engineer, Imspired solutions,

More information

Programovatelné obvody a SoC. PI-PSC

Programovatelné obvody a SoC. PI-PSC Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Programovatelné obvody a SoC. PI-PSC doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologií ČVUT v

More information

CMOS Testing: Part 1. Outline

CMOS Testing: Part 1. Outline CMOS Testing: Part 1 Introduction Fault models Stuck-line (single and multiple) Bridging Stuck-open Test pattern generation Combinational circuit test generation Sequential circuit test generation ECE

More information

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:!

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:! Acknowledgements! Introduction and Overview! Mani Soma! l Some materials from various sources! n Dr. Phil Nigh, IBM! n Principles of Testing Electronic Systems by S. Mourad and Y. Zorian! n Essentials

More information

Betrouwbare Elektronica ontwerpen en Produceren

Betrouwbare Elektronica ontwerpen en Produceren Betrouwbare Elektronica ontwerpen en Produceren Verbeter betrouwbaarheid, time to market en winstgevendheid met boundary scan JTAG Technologies B.V. Rik Doorneweert rik@jtag.com Boundary scan Testing HW

More information

A Research Paper on Designing a TAP(Test Access Port)

A Research Paper on Designing a TAP(Test Access Port) A Research Paper on Designing a TAP(Test Access Port) 1 Mr. VISHWAS K. CHAUDHARY, 2 Mr. MANISH J. PATEL 1, 2 P. G. Students in M.E.(VLSI & ESD) Gujarat Technological University & Seer-Akademi Ahmedabad,

More information

New and Emerging JTAG Standards: Changing the Paradigm of Board Test (A tutorial)

New and Emerging JTAG Standards: Changing the Paradigm of Board Test (A tutorial) New and Emerging JTAG Standards: Changing the Paradigm of Board Test (A tutorial) Artur Jutman November 23 th, 2010 Drammen, NORWAY Presentation Outline Introduction Overview of the standards IEEE 1149.7

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 8(2) I DDQ Current Testing (Chapter 13) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Learning aims Describe the

More information

Lecture 2 VLSI Testing Process and Equipment

Lecture 2 VLSI Testing Process and Equipment Lecture 2 VLSI Testing Process and Equipment Motivation Types of Testing Test Specifications and Plan Test Programming Test Data Analysis Automatic Test Equipment Parametric Testing Summary VLSI Test:

More information

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape Edition April 2017 Semiconductor technology & processing 3D systems-on-chip A clever partitioning of circuits to improve area, cost, power and performance. In recent years, the technology of 3D integration

More information

March 20, 2002, San Jose Dominance of embedded Memories. Ulf Schlichtmann Slide 2. esram contents [Mbit] 100%

March 20, 2002, San Jose Dominance of embedded Memories. Ulf Schlichtmann Slide 2. esram contents [Mbit] 100% Goal and Outline IC designers: awareness of memory challenges isqed 2002 Memory designers: no surprises, hopefully! March 20, 2002, San Jose Dominance of embedded Memories Tomorrows High-quality SoCs Require

More information

Driving 3D Chip and Circuit Board Test Into High Gear

Driving 3D Chip and Circuit Board Test Into High Gear Driving 3D Chip and Circuit Board Test Into High Gear Al Crouch ASSET InterTech, Inc. Emerging Standards and 3D Chip Test Taken independently, the pending ratification of one IEEE standard and the recent

More information

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab CMOS INTEGRATED CIRCUIT DESIGN TECHNIQUES University of Ioannina VLSI Testing Dept. of Computer Science and Engineering Y. Tsiatouhas CMOS Integrated Circuit Design Techniques Overview 1. VLSI testing

More information

Chapter 9. Design for Testability

Chapter 9. Design for Testability Chapter 9 Design for Testability Testability CUT = Circuit Under Test A design property that allows: cost-effective development of tests to be applied to the CUT determining the status of the CUT (normal

More information

BA-BIST: Board Test from Inside the IC Out

BA-BIST: Board Test from Inside the IC Out BA-BIST: Board Test from Inside the IC Out Zoë Conroy, Cisco Al Crouch, Asset InterTech inemi BIST Project 1 05/18/2013 About this Presentation Board-Assist (BA-BIST) is enhanced IC BIST functionality

More information

MediaTek Overview AI/5G-enabled Systems Test Challenges Systems Orientation New Opportunities

MediaTek Overview AI/5G-enabled Systems Test Challenges Systems Orientation New Opportunities MediaTek Overview AI/5G-enabled Systems Test Challenges Systems Orientation New Opportunities Source: www.datasciencecentral.com/profiles/blogs/artificial-intelligence-vs-machine-learning-vs-deep-learning

More information

Digital Design Methodology (Revisited) Design Methodology: Big Picture

Digital Design Methodology (Revisited) Design Methodology: Big Picture Digital Design Methodology (Revisited) Design Methodology Design Specification Verification Synthesis Technology Options Full Custom VLSI Standard Cell ASIC FPGA CS 150 Fall 2005 - Lec #25 Design Methodology

More information

Burn-in & Test Socket Workshop WELCOME. March 2-5, 2003 Hilton Phoenix East / Mesa Hotel Mesa, Arizona

Burn-in & Test Socket Workshop WELCOME. March 2-5, 2003 Hilton Phoenix East / Mesa Hotel Mesa, Arizona Burn-in & Test Socket Workshop WELCOME March 2-5, 2003 Hilton Phoenix East / Mesa Hotel Mesa, Arizona Sponsored By The IEEE Computer Society Test Technology Technical Council tttc COPYRIGHT NOTICE The

More information

CAD for VLSI. Debdeep Mukhopadhyay IIT Madras

CAD for VLSI. Debdeep Mukhopadhyay IIT Madras CAD for VLSI Debdeep Mukhopadhyay IIT Madras Tentative Syllabus Overall perspective of VLSI Design MOS switch and CMOS, MOS based logic design, the CMOS logic styles, Pass Transistors Introduction to Verilog

More information

Moore s s Law, 40 years and Counting

Moore s s Law, 40 years and Counting Moore s s Law, 40 years and Counting Future Directions of Silicon and Packaging Bill Holt General Manager Technology and Manufacturing Group Intel Corporation InterPACK 05 2005 Heat Transfer Conference

More information

Digital Design Methodology

Digital Design Methodology Digital Design Methodology Prof. Soo-Ik Chae Digital System Designs and Practices Using Verilog HDL and FPGAs @ 2008, John Wiley 1-1 Digital Design Methodology (Added) Design Methodology Design Specification

More information

The Boundary - Scan Handbook

The Boundary - Scan Handbook The Boundary - Scan Handbook By Kenneth P. Parker Agilent Technologies * KLUWER ACADEMIC PUBLISHERS Boston / Dordrecht / London TABLE OF CONTENTS List of Figures xiii List of Tables xvi List of Design-for-Test

More information

Testability Optimizations for A Time Multiplexed CPLD Implemented on Structured ASIC Technology

Testability Optimizations for A Time Multiplexed CPLD Implemented on Structured ASIC Technology ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 14, Number 4, 2011, 392 398 Testability Optimizations for A Time Multiplexed CPLD Implemented on Structured ASIC Technology Traian TULBURE

More information

Actel s SX Family of FPGAs: A New Architecture for High-Performance Designs

Actel s SX Family of FPGAs: A New Architecture for High-Performance Designs Actel s SX Family of FPGAs: A New Architecture for High-Performance Designs A Technology Backgrounder Actel Corporation 955 East Arques Avenue Sunnyvale, California 94086 April 20, 1998 Page 2 Actel Corporation

More information

Accessing On-chip Instruments Through the Life-time of Systems ERIK LARSSON

Accessing On-chip Instruments Through the Life-time of Systems ERIK LARSSON Accessing On-chip Instruments Through the Life-time of Systems ERIK LARSSON Motivation We know: Electronics is used everywhere Transistors increase in number and decrease in size It leads to: Many possible

More information

Chapter 0 Introduction

Chapter 0 Introduction Chapter 0 Introduction Jin-Fu Li Laboratory Department of Electrical Engineering National Central University Jhongli, Taiwan Applications of ICs Consumer Electronics Automotive Electronics Green Power

More information

Boundary Scan. Sungho Kang. Yonsei University

Boundary Scan. Sungho Kang. Yonsei University Boundary Scan Sungho Kang Yonsei University Outiline Introduction TAP Controller Instruction Register Test Data Registers Instructions Hardware Test Innovations PCB Test Conclusion 2 Boundary Scan Improve

More information

Introduction to ICs and Transistor Fundamentals

Introduction to ICs and Transistor Fundamentals Introduction to ICs and Transistor Fundamentals A Brief History 1958: First integrated circuit Flip-flop using two transistors Built by Jack Kilby at Texas Instruments 2003 Intel Pentium 4 mprocessor (55

More information

Al Crouch ASSET InterTech InterTech.com

Al Crouch ASSET InterTech InterTech.com IJTAG Test Strategy for 3D IC Integration Al Crouch ASSET InterTech acrouch@asset InterTech.com Silicon Valley Test Conference 2011 1 Why 3D? So, who suffers? Fab Tool Providers they only have 5 customers

More information

A Built-in Self-Test for System-on-Chip

A Built-in Self-Test for System-on-Chip A Built-in Self-Test for System-on-Chip Rashid Rashidzadeh University of Windsor Digital 1 Objective : Design an intellectual property (IP) core which enables low speed Automatic Test Equipment (ATE) to

More information

SCANWORKS TEST DEVELOPMENT STATION BUNDLE

SCANWORKS TEST DEVELOPMENT STATION BUNDLE SCANWORKS TEST DEVELOPMENT STATION BUNDLE The ScanWorks Test Development Station is the most powerful set of boundary-scan test development and application tools available. It not only includes all the

More information

Beyond Moore. Beyond Programmable Logic.

Beyond Moore. Beyond Programmable Logic. Beyond Moore Beyond Programmable Logic Steve Trimberger Xilinx Research FPL 30 August 2012 Beyond Moore Beyond Programmable Logic Agenda What is happening in semiconductor technology? Moore s Law More

More information

TSV Test. Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea

TSV Test. Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea TSV Test Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea # Agenda TSV Test Issues Reliability and Burn-in High Frequency Test at Probe (HFTAP) TSV Probing Issues DFT Opportunities

More information

November 11, 2009 Chang Kim ( 김창식 )

November 11, 2009 Chang Kim ( 김창식 ) Test Cost Challenges November 11, 2009 Chang Kim ( 김창식 ) 1 2 Where we are!!! Number of Die per wafer exponentially increasing!! Bigger Wafer Diameter 150mm 200mm 300mm 450mm 2000 2005 2010 2015 1985 1990

More information

Testing And Testable Design of Digital Systems

Testing And Testable Design of Digital Systems بسم الله الرحمان الرحیم Testing And Testable Design of Digital Systems College of Electrical Engineering Iran University of Science and Technology Karim Mohammadi Faut-Tolerant Digital System Design week-1

More information

Design, Test & Repair Methodology for FinFET-Based Memories

Design, Test & Repair Methodology for FinFET-Based Memories White Paper Design, Test & Repair Methodology for FinFET-Based Memories October 2015 Author Dr. Yervant Zorian Chief Architect and Fellow, Synopsys Like any IP block, memories need to be tested. But unlike

More information

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 1: Introduction to VLSI Technology Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Course Objectives

More information

VLSI Testing. Lecture Fall 2003

VLSI Testing. Lecture Fall 2003 VLSI Testing Lecture 25 8-322 Fall 23 Announcement Homework 9 is due next Thursday (/2) Exam II is on Tuesday (/8) in class Review Session: When: Next Monday (/7) afternoon, 4pm 6pm Where: B3, HH 2 Outline

More information

Lecture 28 IEEE JTAG Boundary Scan Standard

Lecture 28 IEEE JTAG Boundary Scan Standard Lecture 28 IEEE 49. JTAG Boundary Scan Standard Motivation Bed-of-nails tester System view of boundary scan hardware Elementary scan cell Test Access Port (TAP) controller Boundary scan instructions Summary

More information

Testing Principle Verification Testing

Testing Principle Verification Testing ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Test Process and Test Equipment Overview Objective Types of testing Verification testing Characterization testing Manufacturing testing Acceptance

More information

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012 3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012 What the fuss is all about * Source : ECN Magazine March 2011 * Source : EDN Magazine

More information

microsparc-iiep TM Introduction to JTAG Boundary Scan

microsparc-iiep TM Introduction to JTAG Boundary Scan microsparc-iiep TM Introduction to JTAG Boundary Scan White Paper Introduction Historically, most Print Circuit Board (PCB) testing was done using bed-of-nail in-circuit test equipment. Recent advances

More information

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D,

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D, WLSI Extends Si Processing and Supports Moore s Law Douglas Yu TSMC R&D, chyu@tsmc.com SiP Summit, Semicon Taiwan, Taipei, Taiwan, Sep. 9 th, 2016 Introduction Moore s Law Challenges Heterogeneous Integration

More information

TABLE OF CONTENTS III. Section 1. Executive Summary

TABLE OF CONTENTS III. Section 1. Executive Summary Section 1. Executive Summary... 1-1 Section 2. Global IC Industry Outlook and Cycles... 2-1 IC Insights' Forecast Methodology... 2-1 Overview... 2-1 Worldwide GDP... 2-1 Electronic System Sales... 2-2

More information

myproject - P PAR Detail

myproject - P PAR Detail myproject - P1149.1 PAR Detail Submitter Email: cjclark@intellitech.com Type of Project: Revision to IEEE Standard PAR Request Date: 24-May-2008 PAR Approval Date: 26-Sep-2008 PAR Expiration Date: 31-Dec-2012

More information

ARCHIVE Françoise von Trapp Editorial Director 3D InCites ABSTRACT

ARCHIVE Françoise von Trapp Editorial Director 3D InCites ABSTRACT 2010 Invited Speaker ARCHIVE 2010 RISING TO THE 3D TSV TEST CHALLENGE: WILL YOU BE READY? by Françoise von Trapp Editorial Director 3D InCites 3D ABSTRACT integration is not a novel concept. Veterans in

More information

Xilinx SSI Technology Concept to Silicon Development Overview

Xilinx SSI Technology Concept to Silicon Development Overview Xilinx SSI Technology Concept to Silicon Development Overview Shankar Lakka Aug 27 th, 2012 Agenda Economic Drivers and Technical Challenges Xilinx SSI Technology, Power, Performance SSI Development Overview

More information

UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163

UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163 UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163 LEARNING OUTCOMES 4.1 DESIGN METHODOLOGY By the end of this unit, student should be able to: 1. Explain the design methodology for integrated circuit.

More information

By choosing to view this document, you agree to all provisions of the copyright laws protecting it. (Go to next page to view the paper.

By choosing to view this document, you agree to all provisions of the copyright laws protecting it. (Go to next page to view the paper. Copyright 2004 Institute of Electrical and Electronics Engineers, Inc. Reprinted, with permission, from Semicon Europa EMTC in Munich on April 20, 2004, "Practical Design Methodologies that Enable Concurrent

More information

IJTAG Compatibility with Legacy Designs - No Hardware Changes

IJTAG Compatibility with Legacy Designs - No Hardware Changes IJTAG Compatibility with Legacy Designs - No Hardware Changes By: Al Crouch, Jim Johnson, Bill Atwell Overview By now you have heard the buzz in our industry about the new IJTAG standards (IEEE 1687 and

More information

Advanced CSP & Turnkey Solutions. Fumio Ohyama Tera Probe, Inc.

Advanced CSP & Turnkey Solutions. Fumio Ohyama Tera Probe, Inc. Advanced CSP & Turnkey Solutions Fumio Ohyama Tera Probe, Inc. Tera Probe - Corporate Overview 1. Company : Tera Probe, Inc. 2. Founded : August, 2005 3. Capital : Approx. USD118.2 million (as of March

More information

Cutting Power Consumption in HDD Electronics. Duncan Furness Senior Product Manager

Cutting Power Consumption in HDD Electronics. Duncan Furness Senior Product Manager Cutting Power Consumption in HDD Electronics Duncan Furness Senior Product Manager Situation Overview The industry continues to drive to lower power solutions Driven by: Need for higher reliability Extended

More information

Stacked Silicon Interconnect Technology (SSIT)

Stacked Silicon Interconnect Technology (SSIT) Stacked Silicon Interconnect Technology (SSIT) Suresh Ramalingam Xilinx Inc. MEPTEC, January 12, 2011 Agenda Background and Motivation Stacked Silicon Interconnect Technology Summary Background and Motivation

More information

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. Embedded Core Testing (ΙΕΕΕ SECT std) 2

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. Embedded Core Testing (ΙΕΕΕ SECT std) 2 CMOS INTEGRATED CIRCUIT DESIGN TECHNIQUES University of Ioannina Embedded Testing (ΙΕΕΕ 1500 Std. SECT) Dept. of Computer Science and Engineering Y. Tsiatouhas CMOS Integrated Circuit Design Techniques

More information

Design Visibility Enhancement for Failure Analysis

Design Visibility Enhancement for Failure Analysis Design Visibility Enhancement for Failure Analysis Etienne Auvray ST Microelectronics etienne.auvray@st.com ST: Typical FA Process Flow Three steps for fault isolation: Test-based Fault Isolation Global

More information

Frequently Asked Questions (FAQ)

Frequently Asked Questions (FAQ) Frequently Asked Questions (FAQ) Embedded Instrumentation: The future of advanced design validation, test and debug Why Embedded Instruments? The necessities that are driving the invention of embedded

More information

Multi-Die Packaging How Ready Are We?

Multi-Die Packaging How Ready Are We? Multi-Die Packaging How Ready Are We? Rich Rice ASE Group April 23 rd, 2015 Agenda ASE Brief Integration Drivers Multi-Chip Packaging 2.5D / 3D / SiP / SiM Design / Co-Design Challenges: an OSAT Perspective

More information

IEEE Std : What? Why? Where?

IEEE Std : What? Why? Where? Proceedings of DCIS 2012: xxvii th conference on design of circuits and integrated systems IEEE Std 1149.7: What? Why? Where? Francisco R. Fernandes 1, Ricardo J. S. Machado 1, José M. M. Ferreira 1,2,

More information

James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd, 2003

James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd, 2003 Challenges for SoC Design in Very Deep Submicron Technologies James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd, 2003 1 Contents

More information

Microelettronica. J. M. Rabaey, "Digital integrated circuits: a design perspective" EE141 Microelettronica

Microelettronica. J. M. Rabaey, Digital integrated circuits: a design perspective EE141 Microelettronica Microelettronica J. M. Rabaey, "Digital integrated circuits: a design perspective" Introduction Why is designing digital ICs different today than it was before? Will it change in future? The First Computer

More information

Introduction. Summary. Why computer architecture? Technology trends Cost issues

Introduction. Summary. Why computer architecture? Technology trends Cost issues Introduction 1 Summary Why computer architecture? Technology trends Cost issues 2 1 Computer architecture? Computer Architecture refers to the attributes of a system visible to a programmer (that have

More information

Advancing high performance heterogeneous integration through die stacking

Advancing high performance heterogeneous integration through die stacking Advancing high performance heterogeneous integration through die stacking Suresh Ramalingam Senior Director, Advanced Packaging European 3D TSV Summit Jan 22 23, 2013 The First Wave of 3D ICs Perfecting

More information

Programmable Logic Devices FPGA Architectures II CMPE 415. Overview This set of notes introduces many of the features available in the FPGAs of today.

Programmable Logic Devices FPGA Architectures II CMPE 415. Overview This set of notes introduces many of the features available in the FPGAs of today. Overview This set of notes introduces many of the features available in the FPGAs of today. The majority use SRAM based configuration cells, which allows fast reconfiguation. Allows new design ideas to

More information

COE 561 Digital System Design & Synthesis Introduction

COE 561 Digital System Design & Synthesis Introduction 1 COE 561 Digital System Design & Synthesis Introduction Dr. Aiman H. El-Maleh Computer Engineering Department King Fahd University of Petroleum & Minerals Outline Course Topics Microelectronics Design

More information

ECE 486/586. Computer Architecture. Lecture # 2

ECE 486/586. Computer Architecture. Lecture # 2 ECE 486/586 Computer Architecture Lecture # 2 Spring 2015 Portland State University Recap of Last Lecture Old view of computer architecture: Instruction Set Architecture (ISA) design Real computer architecture:

More information

3D Integration & Packaging Challenges with through-silicon-vias (TSV)

3D Integration & Packaging Challenges with through-silicon-vias (TSV) NSF Workshop 2/02/2012 3D Integration & Packaging Challenges with through-silicon-vias (TSV) Dr John U. Knickerbocker IBM - T.J. Watson Research, New York, USA Substrate IBM Research Acknowledgements IBM

More information

Chapter 8 Test Standards. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

Chapter 8 Test Standards. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Chapter 8 Test Standards Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline 1149.1 standard for system-on-board 1500 standard for system-on-chip Advanced

More information

PCB Test & Programming Solutions from the IEEE Boundary-Scan Experts

PCB Test & Programming Solutions from the IEEE Boundary-Scan Experts PCB Test & Programming Solutions from the IEEE 1149.1 Boundary-Scan Experts Solutions for Today s Test and Programming Problems Throughout the electronics industry, manufacturers are turning to the latest

More information

INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS)

INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS) INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS) Bill Jason P. Tomas Dept. of Electrical and Computer Engineering University of Nevada Las Vegas FIELD PROGRAMMABLE ARRAYS Dominant digital design

More information