About the Instructor

Size: px
Start display at page:

Download "About the Instructor"

Transcription

1 About the Instructor Kwang-Ting (Tim) Cheng PhD, 1988, Univ. of California, Berkeley : AT&T Bell Labs 1993-Present: Professor, Dept. of ECE, Univ. of California, Santa Barbara : Director, Computer Engineering Program, UCSB : Chair, Dept. of ECE, UCSB July-Dec. 2008: Visiting Professor, Univ. of Tokyo Research areas: VLSI test, validation and verification; multimedia computing (image and video content analysis) 1 2

2 UCSB s SoC Design and Test Lab Research directions: Test techniques for heterogeneous SOC Functional and timing verification Post-silicon debug and validation Current projects: Models and coverage metrics for effective post-silicon validation Low-cost on-line checking for consumer electronics Self-test and error resilience for high-speed IO and RF systems Test, yield and reliability analysis for multi-core systems with spares Design and test for flexible electronics 3 Class Website 4

3 5 Outline - Overview Overview of IC Testing Types of Testing at IC level IC Production Test Process Burn-in Board, System and Field Testing Costs of Testing The Testing Problems 6

4 Verification vs. Testing Verification Verifies correctness of design. Performed by simulation, hardware emulation, or formal methods. Performed once prior to manufacturing. Responsible for quality of design. *from M. Bushnell/V. Agrawal Testing Verifies correctness of manufactured hardware. Two-part process: 1. Test generation: software process executed once during design 2. Test application: electrical tests applied to hardware Test application performed on every manufactured device. Responsible for quality of devices. 7 Testing Process Is Never Perfect Based on analyzable fault models, which may not map on real defects. Incomplete coverage of modeled faults due to high complexity. Some good chips are rejected. The fraction (or percentage) of such chips is called the yield loss. Some bad chips pass tests. The fraction (or percentage) of bad chips among all passing chips is called the defect level. 8

5 Testing as Filter Process Good chips Prob(good) = y Fabricated chips Defective chips Prob(bad) = 1- y Prob(pass test) = high Prob(fail test) = low Prob(pass test) = low Prob(fail test) = high Mostly good chips Mostly bad chips Ack: Bushnell and Agrawal, Essential of Electronic Testing, Types of Testing Characterization testing, or design debug Verifies correctness of design & of test procedure data will be used for final spec. data can be used to identify area in which processing can be helped for increased yield test time is not important Manufacturing testing/production testing Factory testing of all manufactured chips for parametric faults and for random defects Ack: Bushnell and Agrawal, Essential of Electronic Testing,

6 Characterization Test Worst-case test Choose test that passes/fails chips Select statistically significant sample of chips Repeat test for every combination of 2+ environmental variables Plot results in Shmoo plot Diagnose and correct design errors Continue throughout production life of chips to improve design and process to increase yield Ack: Bushnell and Agrawal, Essential of Electronic Testing, Shmoo Plot 12

7 Types of Manufacturing Tests Wafer sort or probe test done before wafer is scribed and cut into chips Packaged device tests 13 Where Does Probe Fit In? Design Photomask Wafer Fabrication Wafer Test Package Final Test 14

8 Bad Die Inker Reservoir of ink Plunger or fish line dispenses one drop onto each bad die Plunger is electromagnetically or pneumatically driven 15 Inked Wafer 16

9 Typical Probe Test Cell Automatic Test Equipment Stimulus, Response Wafer Good/Bad Test Head Load Board Spring Assy Probe Card Prober X & Y Location 17 Probe Test Cell ATE Prober Interface Board Spring Contactor Assembly Probe Card Prober 18

10 Production IC Probe Floor 19 Probe Card Provides the primary electrical contact between the tester and each device-under-test (DUT) on the wafer Needles on the probe card contact the device I/O pads on the die (same pads are used for package interconnects; often called bond pads) There is usually a different, custom probe card for every circuit design The cards are delicate and fragile 20

11 Cantilever Needle, Epoxy Ring Probe Card Technology Printed Circuit Board (Probe Card) Needle Epoxy Ring Needle soldered to PCB 21 Cantilever Needle Card 22

12 Multi Level Cantilever Needles 23 Vertical Cards for Area Array Space Transform DUT Board Insulator DUT Board Vertical Needles WAFER IBM Buckling Beam Cobra, Patented in

13 Vertical Card (3500 Needles) 25 Where Does Final Test Fit In? Design Photomask Wafer Fabrication Wafer Test Package Final Test 26

14 Production Testing for Packaged Devices ContactTest To screen out assembly related failures. To insure that the tester interface is in contact with the device. Burn-In Test To screen out infant mortalities Functional Test DC Parametric Test Steady state tests AC Parametric Test To ensure that state changes occur at the right time 27 Why Do We Burn-In? Burn-in stress required to meet IM induces early wearout for die size >400 mils Source: Intel 28

15 Burn-in Testing Correlations have been made between life span at room temperature & life span at elevated temperature. Charts of these correlations have been made for each technology Put the device in a furnace for a certain length of time at an elevated temperature and voltage By applying high voltage to the IC s pins, burn-in accelerates the time-to-failure of oxide defects (weak oxide, pin holes, uneven layer growth, etc) typically found in MOS devices High temperature accelerates these and other defects, such as ionic contamination and silicon defects 29 An Example of IC Failure Rate vs. System Operating Time With and Without Burn-in 100,000 10,000 1, C burn-in No burn-in C burn-in Time (hr) 30

16 . Problem of Burn-In: Leakage Dominates Burn-In Power Thermal runaway A destructive positive feedback condition during BI Test sockets can be destroyed 31 Functional Testing for Digital Circuits Test Vectors Digital Circuit Circuit Response True Response Comparator Test Result 32

17 DC Parametric Testing Tests are done by Parametric Measurement Unit (PMU) Leakage test Threshold V il & V ih test Output drive current test Power consumption test Output short current test 33 AC Parametric Testing To ensure that value/state changes occur at the right time Some of AC parametric tests are mainly for characterization and may not be necessary for production test. Test for rise and fall times of an output signal Tests for setup and hold times Tests for time to tri-state Tests for measuring delay times» E.g. tests for memory access time Functional at-speed tests (speed sorting) 34

18 Mov00100.mpg 35 Board Level Testing Shorts testing In-circuit testing Check devices already mounted on a board An external tester applies patterns directly to the inputs of the device & observe the outputs The tester must be capable of electronically isolating the IC under test from the board environment Functional Board Testing 36

19 System Testing Final test in the manufacturing process Checking the right assembly of components such as boards, backplanes, cables and peripherals It also involves checking of component interactions and HW/SW functionality Very long test times required 37 Field Testing Necessary for commissioning and faultfinding the system as a whole in a fieldservice environment Both analog and digital test equipment is needed, issues of Portability Ease of use Range of functions 38

20 Testing Costs are Composed of: Test equipment costs Analog & digital signal and measuring instrumentation Test head (pin electronics, drivers and cables) Test controller (computer & storage) Test development costs Test planning, test program development and debugging Testing-time costs time using the equipment to support testing Test personnel costs training working time 39 Types of ATE IC Device ATE Memory, Logic, Mixed-signal, Analog Environmental Test - Burn-In Board-Level ATE Bare-Board Testers (Assembly Fault Testers) Manufacturing Defects Analyzers In-circuit Testers Functional Board Testers Combinational Board Testers Systems Testers Field Service Testers 40

21 Cost of Manufacturing Testing in 2000AD GHz, analog instruments, 1,024 digital pins: ATE purchase price = $1.2M + 1,024 x $3,000 = $4.272M Running cost (five-year linear depreciation) = Depreciation + Maintenance + Operation = $0.854M + $0.085M + $0.5M = $1.439M/year Test cost (24 hour ATE operation) = $1.439M/(365 x 24 x 3,600) = 4.5 cents/second 41 Tremendous Cost Pressure The price to performance ratio of semiconductors continues to decline $ per MIP or $ per bit follow Moore s Law Test equipment cost increases Tester cost increase 10 X in 30 years Prober cost increase 20 X in 30 years Test costs don t scale with geometry We must test all the individual parts We must test them more thoroughly And the parts get more complicated! 42

22 Summary Types of IC Testing Characterization testing vs. Production testing Production testing: Wafer probe vs. Packaged device testing Production testing for packaged devices: Contact test Burn-in Functional testing DC & AC parametric testing 43 Cost Of Testing - The Rule of Tens 1000 Cost Per Fault (Dollars) IC Test Board Test System Test Warranty Repair 44

23 In-Field Failures are Common and Costly Xbox:16.4% failure rate Additional warranty and refund will cost Microsoft $1.15B ($86 per $300-item) More than financial cost: reputation and market loss Non-trivial failure rate 15% in average failure-rates-worse-than-most-consumer-electornics.html 45 The Testing Problems Q1: Which faults to target? Fault Modeling Q2: How is test derived? Manually Automatic Test Pattern Generation (ATPG) Q3: How is test quality measured? Fault coverage vs. product quality Fault simulation Q4: How are tests applied? Test Engineering, Test programming Automatic test equipment (ATE) Wafer probe 46

24 The Testing Problems (Cont d) Q5: How to make sure high quality test can be derived and applied? Design for testability Built-in self-test Q6: How to identify the source of errors, if any? Fault Diagnosis 47

EE434 ASIC & Digital Systems Testing

EE434 ASIC & Digital Systems Testing EE434 ASIC & Digital Systems Testing Spring 2015 Dae Hyun Kim daehyun@eecs.wsu.edu 1 Introduction VLSI realization process Verification and test Ideal and real tests Costs of testing Roles of testing A

More information

ENG04057 Teste de Sistema Integrados. Prof. Eric Ericson Fabris (Marcelo Lubaszewski)

ENG04057 Teste de Sistema Integrados. Prof. Eric Ericson Fabris (Marcelo Lubaszewski) ENG04057 Teste de Sistema Integrados Prof. Eric Ericson Fabris (Marcelo Lubaszewski) Março 2011 Slides adapted from ABRAMOVICI, M.; BREUER, M.; FRIEDMAN, A. Digital Systems Testing and Testable Design.

More information

Lecture 2 VLSI Testing Process and Equipment

Lecture 2 VLSI Testing Process and Equipment Lecture 2 VLSI Testing Process and Equipment Motivation Types of Testing Test Specifications and Plan Test Programming Test Data Analysis Automatic Test Equipment Parametric Testing Summary VLSI Test:

More information

Testing Principle Verification Testing

Testing Principle Verification Testing ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Test Process and Test Equipment Overview Objective Types of testing Verification testing Characterization testing Manufacturing testing Acceptance

More information

VLSI System Testing. Lecture 1 Introduction Class website: people.ee.duke.edu/~krish/teaching/538.html

VLSI System Testing. Lecture 1 Introduction Class website: people.ee.duke.edu/~krish/teaching/538.html ECE 538 VLSI System Testing Krish Chakrabarty Lecture 1: Overview Krish Chakrabarty 1 Lecture 1 Introduction Class website: people.ee.duke.edu/~krish/teaching/538.html VLSI realization process Verification

More information

Testing Digital Systems I

Testing Digital Systems I Testing Digital Systems I Lecture 1: Introduction Instructor: M. Tahoori Copyright 2011, M. Tahoori TDS I: Lecture 1 1 Today s Lecture Logistics Course Outline Introduction Copyright 2011, M. Tahoori TDS

More information

VLSI Testing. Introduction. Virendra Singh Indian Institute of Science Bangalore

VLSI Testing. Introduction. Virendra Singh Indian Institute of Science Bangalore VLSI Testing Introduction Virendra Singh Indian Institute of Science Bangalore virendra@computer.org E0 286: Test & Verification of SoC Design Lecture - 1 Reading Material Text Book: M.L. Bushnell and

More information

Testing And Testable Design of Digital Systems

Testing And Testable Design of Digital Systems بسم الله الرحمان الرحیم Testing And Testable Design of Digital Systems College of Electrical Engineering Iran University of Science and Technology Karim Mohammadi Faut-Tolerant Digital System Design week-1

More information

CMOS Testing: Part 1. Outline

CMOS Testing: Part 1. Outline CMOS Testing: Part 1 Introduction Fault models Stuck-line (single and multiple) Bridging Stuck-open Test pattern generation Combinational circuit test generation Sequential circuit test generation ECE

More information

Future Trends One Mann s Opinion

Future Trends One Mann s Opinion Future Trends One Mann s Opinion Bill Mann General Chair - SWTW Southwest Test Workshop Newport Beach, CA 92663 949-645-3294 william.mann@ieee.org Future Trends One Mann s Opinion Relative Reduction in

More information

Introduction to Wafer Level Burn-In. William R. Mann General Chairman Southwest Test Workshop

Introduction to Wafer Level Burn-In. William R. Mann General Chairman Southwest Test Workshop Introduction to Wafer Level Burn-In William R. Mann General Chairman Southwest Test Workshop Outline Conventional Burn In and Problems Wafer Level BI Driving Factors Initial Die Level BI Technical Challenges

More information

IC Testing and Development in Semiconductor Area

IC Testing and Development in Semiconductor Area IC Testing and Development in Semiconductor Area Prepare by Lee Zhang, 2004 Outline 1. Electronic Industry Development 2. Semiconductor Industry Development 4Electronic Industry Development Electronic

More information

Metodologie di progetto HW Il test di circuiti digitali

Metodologie di progetto HW Il test di circuiti digitali Metodologie di progetto HW Il test di circuiti digitali Introduzione Versione del 9/4/8 Metodologie di progetto HW Il test di circuiti digitali Introduction VLSI Realization Process Customer s need Determine

More information

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:!

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:! Acknowledgements! Introduction and Overview! Mani Soma! l Some materials from various sources! n Dr. Phil Nigh, IBM! n Principles of Testing Electronic Systems by S. Mourad and Y. Zorian! n Essentials

More information

Metodologie di progetto HW Il test di circuiti digitali

Metodologie di progetto HW Il test di circuiti digitali Metodologie di progetto HW Il test di circuiti digitali Introduzione Versione del 9/4/8 Metodologie di progetto HW Il test di circuiti digitali Introduction Pag. 2 VLSI Realization Process Customer s need

More information

TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES

TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Kewal K. Saluja University of Wisconsin - Madison Motivation, Fault Models and some Callenges Overview Motivation Technology, Test cost, and VLSI realization

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information

Driving 3D Chip and Circuit Board Test Into High Gear

Driving 3D Chip and Circuit Board Test Into High Gear Driving 3D Chip and Circuit Board Test Into High Gear Al Crouch ASSET InterTech, Inc. Emerging Standards and 3D Chip Test Taken independently, the pending ratification of one IEEE standard and the recent

More information

Test and Measurement Challenges for 3D IC Development. R. Robertazzi IBM Research

Test and Measurement Challenges for 3D IC Development. R. Robertazzi IBM Research Test and Measurement Challenges for 3D IC Development R. Robertazzi IBM Research PFA Bill Price. Pete Sorce. John Ott. David Abraham. Pavan Samudrala Digital Test Kevin Stawaisz. TEL P12 Prober Glen Lansman,

More information

Wafer Probe card solutions

Wafer Probe card solutions Wafer Probe card solutions Innovative Solutions to Test Chips in the Semiconductor Industry Our long term experience in the electronic industry and our strong developing and process teams are inspired

More information

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors)

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors) 1 COEN-4730 Computer Architecture Lecture 12 Testing and Design for Testability (focus: processors) Cristinel Ababei Dept. of Electrical and Computer Engineering Marquette University 1 Outline Testing

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 4(part 2) Testability Measurements (Chapter 6) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Previous lecture What

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 10 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Content Manufacturing Defects Wafer defects Chip defects Board defects system defects

More information

Setting the Test Standard for Tomorrow. Nasdaq: AEHR

Setting the Test Standard for Tomorrow. Nasdaq: AEHR Setting the Test Standard for Tomorrow Nasdaq: AEHR Forward Looking Statements This presentation contains forward-looking statements that involve risks and uncertainties relating to projections regarding

More information

A Fine Pitch MEMS Probe Card with Built in Active Device for 3D IC Test

A Fine Pitch MEMS Probe Card with Built in Active Device for 3D IC Test 3000.0 2500.0 2000.0 1500.0 1000.0 500.0 0.00-500.0-1000.0-1500.0 OSCILLOSCOPE Design file: MSFT DIFF CLOCK WITH TERMINATORREV2.FFS Designer: Microsoft HyperLynx V8.0 Comment: 650MHz at clk input, J10,

More information

KGD Known Good >POWER< Die Diced Wafer Test at 7 kv and 1000 A

KGD Known Good >POWER< Die Diced Wafer Test at 7 kv and 1000 A KGD Known Good >POWER< Die Diced Wafer Test at 7 kv and 1000 A Mauro Serra CREA Test Jens Lochbaum INFOTECH Automation Rainer Gaggl T.I.P.S. Messtechnik Overview IGBT Power Modules Classical chip test

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 8(2) I DDQ Current Testing (Chapter 13) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Learning aims Describe the

More information

TSV Test. Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea

TSV Test. Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea TSV Test Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea # Agenda TSV Test Issues Reliability and Burn-in High Frequency Test at Probe (HFTAP) TSV Probing Issues DFT Opportunities

More information

Wafer Probe card solutions

Wafer Probe card solutions Wafer Probe card solutions Innovative Solutions to Test Chips in the Semiconductor Industry Our long term experience in the electronic industry and our strong developing and process teams are inspired

More information

Burn-in & Test Socket Workshop WELCOME. March 2-5, 2003 Hilton Phoenix East / Mesa Hotel Mesa, Arizona

Burn-in & Test Socket Workshop WELCOME. March 2-5, 2003 Hilton Phoenix East / Mesa Hotel Mesa, Arizona Burn-in & Test Socket Workshop WELCOME March 2-5, 2003 Hilton Phoenix East / Mesa Hotel Mesa, Arizona Sponsored By The IEEE Computer Society Test Technology Technical Council tttc COPYRIGHT NOTICE The

More information

Impact of DFT Techniques on Wafer Probe

Impact of DFT Techniques on Wafer Probe Impact of DFT Techniques on Wafer Probe Ron Leckie, CEO, INFRASTRUCTURE ron@infras.com Co-author: Charlie McDonald, LogicVision charlie@lvision.com The Embedded Test Company TM Agenda INFRASTRUCTURE Introduction

More information

Design and Synthesis for Test

Design and Synthesis for Test TDTS 80 Lecture 6 Design and Synthesis for Test Zebo Peng Embedded Systems Laboratory IDA, Linköping University Testing and its Current Practice To meet user s quality requirements. Testing aims at the

More information

Application Note. Pyramid Probe Cards

Application Note. Pyramid Probe Cards Application Note Pyramid Probe Cards Innovating Test Technologies Pyramid Probe Technology Benefits Design for Test Internal pads, bumps, and arrays High signal integrity Rf and DC on same probe card Small

More information

Multi-site Probing for Wafer-Level Reliability

Multi-site Probing for Wafer-Level Reliability Multi-site Probing for Wafer-Level Reliability Louis Solis De Ancona 1 Sharad Prasad 2, David Pachura 2 1 Agilent Technologies 2 LSI Logic Corporation s Outline Introduction Multi-Site Probing Challenges

More information

UNIT IV CMOS TESTING

UNIT IV CMOS TESTING UNIT IV CMOS TESTING 1. Mention the levels at which testing of a chip can be done? At the wafer level At the packaged-chip level At the board level At the system level In the field 2. What is meant by

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

VLSI Testing. Lecture Fall 2003

VLSI Testing. Lecture Fall 2003 VLSI Testing Lecture 25 8-322 Fall 23 Announcement Homework 9 is due next Thursday (/2) Exam II is on Tuesday (/8) in class Review Session: When: Next Monday (/7) afternoon, 4pm 6pm Where: B3, HH 2 Outline

More information

High Quality, Low Cost Test

High Quality, Low Cost Test Datasheet High Quality, Low Cost Test Overview is a comprehensive synthesis-based test solution for compression and advanced design-for-test that addresses the cost challenges of testing complex designs.

More information

Embedded Quality for Test. Yervant Zorian LogicVision, Inc.

Embedded Quality for Test. Yervant Zorian LogicVision, Inc. Embedded Quality for Test Yervant Zorian LogicVision, Inc. Electronics Industry Achieved Successful Penetration in Diverse Domains Electronics Industry (cont( cont) Met User Quality Requirements satisfying

More information

ECE 486/586. Computer Architecture. Lecture # 2

ECE 486/586. Computer Architecture. Lecture # 2 ECE 486/586 Computer Architecture Lecture # 2 Spring 2015 Portland State University Recap of Last Lecture Old view of computer architecture: Instruction Set Architecture (ISA) design Real computer architecture:

More information

Panel Discussion Chair: Michael Huebner

Panel Discussion Chair: Michael Huebner Panel Discussion Chair: Michael Huebner FormFactor Inc. Panel members Panel Discussion Mark Ojeda (Spansion/Cypress) Panel: I/II Rey Rincon (Freescale) Panel: II Al Wegleitner (TI) Panel: I/II Clark Liu

More information

Highly Parallel Wafer Level Reliability Systems with PXI SMUs

Highly Parallel Wafer Level Reliability Systems with PXI SMUs Highly Parallel Wafer Level Reliability Systems with PXI SMUs Submitted by National Instruments Overview Reliability testing has long served as a method of ensuring that semiconductor devices maintain

More information

MAXIM INTEGRATED PRODUCTS

MAXIM INTEGRATED PRODUCTS MAX6628MKA Rev. A RELIABILITY REPORT FOR MAX6628MKA PLASTIC ENCAPSULATED DEVICES September 30, 2003 MAXIM INTEGRATED PRODUCTS 120 SAN GABRIEL DR. SUNNYVALE, CA 94086 Written by Reviewed by Jim Pedicord

More information

Session 2. Burn-in & Test Socket Workshop Socket Design

Session 2. Burn-in & Test Socket Workshop Socket Design Session 2 Burn-in & Test Socket Workshop 2000 Socket Design BURN-IN & TEST SOCKET WORKSHOP COPYRIGHT NOTICE The papers in this publication comprise the proceedings of the 2000 BiTS Workshop. They reflect

More information

MAXIM INTEGRATED PRODUCTS

MAXIM INTEGRATED PRODUCTS MAX358xxE Rev. A RELIABILITY REPORT FOR MAX358xxE PLASTIC ENCAPSULATED DEVICES June 5, 2003 MAXIM INTEGRATED PRODUCTS 120 SAN GABRIEL DR. SUNNYVALE, CA 94086 Written by Reviewed by Jim Pedicord Quality

More information

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group I N V E N T I V E DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group Moore s Law & More : Tall And Thin More than Moore: Diversification Moore s

More information

RELIABILITY REPORT FOR PLASTIC ENCAPSULATED DEVICES MAXIM INTEGRATED PRODUCTS 120 SAN GABRIEL DR. SUNNYVALE, CA 94086

RELIABILITY REPORT FOR PLASTIC ENCAPSULATED DEVICES MAXIM INTEGRATED PRODUCTS 120 SAN GABRIEL DR. SUNNYVALE, CA 94086 MAX726xCK Rev. A RELIABILITY REPORT FOR MAX726xCK PLASTIC ENCAPSULATED DEVICES June 20, 2003 MAXIM INTEGRATED PRODUCTS 120 SAN GABRIEL DR. SUNNYVALE, CA 94086 Written by Reviewed by Jim Pedicord Quality

More information

Functional Testing of 0.3mm pitch Wafer Level Packages to Multi- GHz Speed made possible by Innovative Socket Technology

Functional Testing of 0.3mm pitch Wafer Level Packages to Multi- GHz Speed made possible by Innovative Socket Technology Functional Testing of 0.3mm pitch Wafer Level Packages to Multi- GHz Speed made possible by Innovative Socket Technology Ila Pal - Ironwood Electronics Introduction Today s electronic packages have high

More information

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 Addressable Test Chip Technology for IC Design and Manufacturing Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 IC Design & Manufacturing Trends Both logic and memory

More information

MPS4101-6LP Datasheet 50 MHz 25 GHz RoHS-Compliant Control Device QFN SPST PIN

MPS4101-6LP Datasheet 50 MHz 25 GHz RoHS-Compliant Control Device QFN SPST PIN MPS4101-6LP Datasheet 50 MHz 25 GHz RoHS-Compliant Control Device QFN SPST PIN Microsemi Corporate Headquarters One Enterprise, Aliso Viejo, CA 92656 USA Within the USA: +1 (800) 713-4113 Outside the USA:

More information

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 1: Introduction to VLSI Technology Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Course Objectives

More information

MICRO BURN IN PRODUCTS LISTED IN MODEL NUMBER ORDER FOLLOWED BY A BRIEF DESCRIPTION

MICRO BURN IN PRODUCTS LISTED IN MODEL NUMBER ORDER FOLLOWED BY A BRIEF DESCRIPTION MICRO BURN IN PRODUCTS LISTED IN MODEL NUMBER ORDER FOLLOWED BY A BRIEF DESCRIPTION MODEL 102P 102R DESCRIPTION Floor Stand (Plane) Floor Stand (Modified) HTRB Burn-In System (diode) Component Burn-In

More information

There is a paradigm shift in semiconductor industry towards 2.5D and 3D integration of heterogeneous parts to build complex systems.

There is a paradigm shift in semiconductor industry towards 2.5D and 3D integration of heterogeneous parts to build complex systems. Direct Connection and Testing of TSV and Microbump Devices using NanoPierce Contactor for 3D-IC Integration There is a paradigm shift in semiconductor industry towards 2.5D and 3D integration of heterogeneous

More information

Microelettronica. J. M. Rabaey, "Digital integrated circuits: a design perspective" EE141 Microelettronica

Microelettronica. J. M. Rabaey, Digital integrated circuits: a design perspective EE141 Microelettronica Microelettronica J. M. Rabaey, "Digital integrated circuits: a design perspective" Introduction Why is designing digital ICs different today than it was before? Will it change in future? The First Computer

More information

Wafer Level Burn-In and Test System Considerations

Wafer Level Burn-In and Test System Considerations Wafer Level Burn-In and Test System Considerations Mark C. Carbone Program Manager Aehr Test Systems 1667 Plymouth Street Mountain View, CA www.aehr.com Objectives of WLBT Production Burn-in of full wafer

More information

All Programmable: from Silicon to System

All Programmable: from Silicon to System All Programmable: from Silicon to System Ivo Bolsens, Senior Vice President & CTO Page 1 Moore s Law: The Technology Pipeline Page 2 Industry Debates Variability Page 3 Industry Debates on Cost Page 4

More information

Digital Integrated Circuits

Digital Integrated Circuits Digital Integrated Circuits Lecture Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University Design/manufacture Process Chung EPC655 2 Design/manufacture Process Chung EPC655 3 Layout

More information

COE 561 Digital System Design & Synthesis Introduction

COE 561 Digital System Design & Synthesis Introduction 1 COE 561 Digital System Design & Synthesis Introduction Dr. Aiman H. El-Maleh Computer Engineering Department King Fahd University of Petroleum & Minerals Outline Course Topics Microelectronics Design

More information

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY A PATH FOR HORIZING YOUR INNOVATIVE WORK IMPLEMENTATION OF AUTOMATED JIG FOR PCB TESTIMG NILANGI U. NETRAVALKAR 1, SONIA

More information

Semiconductor/IC Test Solutions

Semiconductor/IC Test Solutions Semiconductor/IC Test Solutions Wafer/Chip/Package www.chromaate.com Turnkey Test & Automation Solution Provider Chroma ATE Inc, as a turnkey test & automation solution provider, integrates customized

More information

An integrated solution for KGD: At-speed wafer-level testing and full-contact wafer-level burn-in after flip chip bumping

An integrated solution for KGD: At-speed wafer-level testing and full-contact wafer-level burn-in after flip chip bumping An integrated solution for KGD: At-speed wafer-level testing and full-contact wafer-level burn-in after flip chip bumping Yuan-Ping Tseng/ An-Hong Liu TD center ChipMOS Technologies Inc. June 5, 2001 1

More information

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN 1 Introduction The evolution of integrated circuit (IC) fabrication techniques is a unique fact in the history of modern industry. The improvements in terms of speed, density and cost have kept constant

More information

Session 4a. Burn-in & Test Socket Workshop Burn-in Board Design

Session 4a. Burn-in & Test Socket Workshop Burn-in Board Design Session 4a Burn-in & Test Socket Workshop 2000 Burn-in Board Design BURN-IN & TEST SOCKET WORKSHOP COPYRIGHT NOTICE The papers in this publication comprise the proceedings of the 2000 BiTS Workshop. They

More information

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis I NVENTIVE Physical Design Implementation for 3D IC Methodology and Tools Dave Noice Vassilios Gerousis Outline 3D IC Physical components Modeling 3D IC Stack Configuration Physical Design With TSV Summary

More information

ECE 261: Full Custom VLSI Design

ECE 261: Full Custom VLSI Design ECE 261: Full Custom VLSI Design Prof. James Morizio Dept. Electrical and Computer Engineering Hudson Hall Ph: 201-7759 E-mail: jmorizio@ee.duke.edu URL: http://www.ee.duke.edu/~jmorizio Course URL: http://www.ee.duke.edu/~jmorizio/ece261/261.html

More information

Curve Tracing Systems

Curve Tracing Systems Curve Tracing Systems Models Available MultiTrace: The most flexible solution for devices up to 625 pins, capable of any of the applications described here. Comes with a PGA-625 fixture MegaTrace: A larger

More information

ELECTRONICS MANUFACTURE-The In-Circuit Test sequence

ELECTRONICS MANUFACTURE-The In-Circuit Test sequence ELECTRONICS MANUFACTURE-The In-Circuit Test sequence In-Circuit Test comprises several sections, each consisting of a series of tests on individual devices. By testing devices individually, failures can

More information

MAXIM INTEGRATED PRODUCTS

MAXIM INTEGRATED PRODUCTS DG409xxx Rev. A RELIABILITY REPORT FOR DG409xxx PLASTIC ENCAPSULATED DEVICES August 21, 2003 MAXIM INTEGRATED PRODUCTS 120 SAN GABRIEL DR. SUNNYVALE, CA 94086 Written by Reviewed by Jim Pedicord Quality

More information

Quad Module Hybrid Development for the ATLAS Pixel Layer Upgrade

Quad Module Hybrid Development for the ATLAS Pixel Layer Upgrade Quad Module Hybrid Development for the ATLAS Pixel Layer Upgrade Lawrence Berkeley National Lab E-mail: kedunne@lbl.gov Maurice Garcia-Sciveres, Timon Heim Lawrence Berkeley National Lab, Berkeley, USA

More information

VLSI System Testing. Fault Simulation

VLSI System Testing. Fault Simulation ECE 538 VLSI System Testing Krish Chakrabarty Fault Simulation ECE 538 Krish Chakrabarty Fault Simulation Problem and motivation Fault simulation algorithms Serial Parallel Deductive Concurrent Random

More information

Concurrent Testing with RF

Concurrent Testing with RF Concurrent Testing with RF Jeff Brenner Verigy US EK Tan Verigy Singapore go/semi March 2010 1 Introduction Integration of multiple functional cores can be accomplished through the development of either

More information

RELIABILITY REPORT FOR. MAX4544xxx PLASTIC ENCAPSULATED DEVICES. October 10, 2001 MAXIM INTEGRATED PRODUCTS 120 SAN GABRIEL DR. SUNNYVALE, CA 94086

RELIABILITY REPORT FOR. MAX4544xxx PLASTIC ENCAPSULATED DEVICES. October 10, 2001 MAXIM INTEGRATED PRODUCTS 120 SAN GABRIEL DR. SUNNYVALE, CA 94086 MAX4544xxx Rev. A RELIABILITY REPORT FOR MAX4544xxx PLASTIC ENCAPSULATED DEVICES October 10, 2001 MAXIM INTEGRATED PRODUCTS 120 SAN GABRIEL DR. SUNNYVALE, CA 94086 Written by Reviewed by Jim Pedicord Quality

More information

A Dual-Core Multi-Threaded Xeon Processor with 16MB L3 Cache

A Dual-Core Multi-Threaded Xeon Processor with 16MB L3 Cache A Dual-Core Multi-Threaded Xeon Processor with 16MB L3 Cache Stefan Rusu Intel Corporation Santa Clara, CA Intel and the Intel logo are registered trademarks of Intel Corporation or its subsidiaries in

More information

PROBE CARD METROLOGY

PROBE CARD METROLOGY PROBE CARD METROLOGY HIGH TEMPERATURE TESTING OF PROBE CARDS Rod Schwartz VP & Technical Director Integrated Technology Corporation Dan Kosecki VP Software Development Integrated Technology Corporation

More information

RELIABILITY REPORT FOR MAX2055EUP PLASTIC ENCAPSULATED DEVICES. April 2, 2004 MAXIM INTEGRATED PRODUCTS 120 SAN GABRIEL DR. SUNNYVALE, CA 94086

RELIABILITY REPORT FOR MAX2055EUP PLASTIC ENCAPSULATED DEVICES. April 2, 2004 MAXIM INTEGRATED PRODUCTS 120 SAN GABRIEL DR. SUNNYVALE, CA 94086 MAX2055EUP Rev. A RELIABILITY REPORT FOR MAX2055EUP PLASTIC ENCAPSULATED DEVICES April 2, 2004 MAXIM INTEGRATED PRODUCTS 120 SAN GABRIEL DR. SUNNYVALE, CA 94086 Written by Reviewed by Jim Pedicord Quality

More information

MediaTek Overview AI/5G-enabled Systems Test Challenges Systems Orientation New Opportunities

MediaTek Overview AI/5G-enabled Systems Test Challenges Systems Orientation New Opportunities MediaTek Overview AI/5G-enabled Systems Test Challenges Systems Orientation New Opportunities Source: www.datasciencecentral.com/profiles/blogs/artificial-intelligence-vs-machine-learning-vs-deep-learning

More information

PXI Tsunami in Semiconductor ATE Michael Dewey Geotest Marvin Test Systems Silicon Valley Test Conference

PXI Tsunami in Semiconductor ATE Michael Dewey Geotest Marvin Test Systems Silicon Valley Test Conference PXI Tsunami in Semiconductor ATE Michael Dewey Geotest Marvin Test Systems miked@geotestinc.com Silicon Valley Test Conference 2012 1 Agenda Geotest background Semiconductor market and trends PXI for semiconductor

More information

WHICH SIDE ARE YOU ON? DOUBLE SIDED PROBING

WHICH SIDE ARE YOU ON? DOUBLE SIDED PROBING WHICH SIDE ARE YOU ON? DOUBLE SIDED PROBING Traditionally, devices with active regions on both sides of a wafer were limited to discrete devices. With advances in materials, functionality and packaging,

More information

Mixed-Signals Integrated Circuit Testing

Mixed-Signals Integrated Circuit Testing Mixed-Signals Integrated Circuit Testing Salvador MIR TIMA Laboratory 46 Av. Félix Viallet 38031 Grenoble salvador.mir@imag.fr Montpellier, 27 th March 2007 1 Outline 1 2 3 4 5 6 Introduction Analog versus

More information

Design for Test of Digital Systems TDDC33

Design for Test of Digital Systems TDDC33 Personnel Design for Test of Digital Systems TDDC33 Erik Larsson - Course leader (examinator) Email: erik.larsson@liu.se Dimitar Nikolov - Course assistant Email: dimitar.nikolov@liu.se Madeleine Häger

More information

MMA044AA Datasheet 6 GHz 18 GHz GaAs phemt MMIC Wideband Low-Noise Amplifier

MMA044AA Datasheet 6 GHz 18 GHz GaAs phemt MMIC Wideband Low-Noise Amplifier MMA044AA Datasheet 6 GHz 18 GHz GaAs phemt MMIC Wideband Low-Noise Amplifier Microsemi Corporate Headquarters One Enterprise, Aliso Viejo, CA 92656 USA Within the USA: +1 (800) 713-4113 Outside the USA:

More information

Recent IoT/Automotive device Trends and testing challenges Presented To: SiP Conference China 2018 Presented By: Kotaro HASEGAWA

Recent IoT/Automotive device Trends and testing challenges Presented To: SiP Conference China 2018 Presented By: Kotaro HASEGAWA Recent IoT/Automotive device Trends and testing challenges Presented To: SiP Conference China 2018 Presented By: Kotaro HASEGAWA 2018/10/19 All Rights Reserved - ADVANTEST CORPORATION 1 IoT Market Trend

More information

Rolling Up Solutions of Wafer Probing Technologies Joey Wu

Rolling Up Solutions of Wafer Probing Technologies Joey Wu Rolling Up Solutions of Wafer Probing Technologies Joey Wu Manager, Global Marketing Drivers of Semiconductor Industry Source: Yole, 2016 Source: Yole, 2016 Source: Yole, 2016 Source: Yole, 2016 Form-factor

More information

Leveraging Multiprobe Probe Card learnings to help Standardize and improve Parametric and WLR Testing

Leveraging Multiprobe Probe Card learnings to help Standardize and improve Parametric and WLR Testing Leveraging Multiprobe Probe Card learnings to help Standardize and improve Parametric and WLR Testing Company Logo Brandon Mair Dawn Copeland Teas Instruments Agenda Introduction Overview Similarities

More information

Solidus Technologies, Inc. STI White Paper: AN092309R1

Solidus Technologies, Inc. STI White Paper: AN092309R1 STI White Paper: AN092309R1 Reduce your MEMS Package Level Final Test Times and Save MEMS Manufacturing Costs using STI3000 Wafer Level Test Technology Introduction A survey of MEMS manufacturing literature

More information

polarinstruments.com

polarinstruments.com Prototypes, short production runs, new product launches. Deliver your product to market on time and on budget PCB repair test system GRS500 Increase prototype yields Designed for short series production

More information

MAXIM INTEGRATED PRODUCTS

MAXIM INTEGRATED PRODUCTS MAX149xxxP Rev. A RELIABILITY REPORT FOR MAX149xxxP PLASTIC ENCAPSULATED DEVICES October 13, 2004 MAXIM INTEGRATED PRODUCTS 120 SAN GABRIEL DR. SUNNYVALE, CA 94086 Written by Jim Pedicord Quality Assurance

More information

EE 709:Testing & Verification of VLSI Circuits Introduction

EE 709:Testing & Verification of VLSI Circuits Introduction EE 709:Testing & Verification of VLSI Circuits Introduction Virendra Singh Associate Professor Computer Architecture and Dependable Systems Lab Dept. of Electrical Engineering Indian Institute of Technology

More information

myproject - P PAR Detail

myproject - P PAR Detail myproject - P1149.1 PAR Detail Submitter Email: cjclark@intellitech.com Type of Project: Revision to IEEE Standard PAR Request Date: 24-May-2008 PAR Approval Date: 26-Sep-2008 PAR Expiration Date: 31-Dec-2012

More information

Session 1. Burn-in & Test Socket Workshop Introduction to Socketing

Session 1. Burn-in & Test Socket Workshop Introduction to Socketing Session 1 Burn-in & Test Socket Workshop 2000 Introduction to Socketing BURN-IN & TEST SOCKET WORKSHOP COPYRIGHT NOTICE The papers in this publication comprise the proceedings of the 2000 BiTS Workshop.

More information

VLSI Testing. Fault Simulation. Virendra Singh. Indian Institute of Science Bangalore

VLSI Testing. Fault Simulation. Virendra Singh. Indian Institute of Science Bangalore VLSI Testing Fault Simulation Virendra Singh Indian Institute of Science Bangalore virendra@computer.org E0 286: Test & Verification of SoC Design Lecture - 4 Jan 25, 2008 E0-286@SERC 1 Fault Model - Summary

More information

Kee Sup Kim Samsung Electronics. ramework for Massively Parallel esting at Wafer and Package Test

Kee Sup Kim Samsung Electronics. ramework for Massively Parallel esting at Wafer and Package Test Kee Sup Kim Samsung Electronics ramework for Massively Parallel esting at Wafer and Package Test Key Message Massively parallel testing Possible Positive Return DFT Can be exciting 3 Outline Introduction

More information

Achieving GHz Speed in Socketed BGA Devices

Achieving GHz Speed in Socketed BGA Devices IC INTERCONNECT TOPIC #102 Technical Information from Ironwood Electronics Achieving GHz Speed in Socketed BGA Devices Ila Pal Director of R&D Ironwood Electronics Background For many products designed

More information

Xilinx SSI Technology Concept to Silicon Development Overview

Xilinx SSI Technology Concept to Silicon Development Overview Xilinx SSI Technology Concept to Silicon Development Overview Shankar Lakka Aug 27 th, 2012 Agenda Economic Drivers and Technical Challenges Xilinx SSI Technology, Power, Performance SSI Development Overview

More information

MAXIM INTEGRATED PRODUCTS

MAXIM INTEGRATED PRODUCTS MAX8510EXKxx Rev. B RELIABILITY REPORT FOR MAX8510EXKxx PLASTIC ENCAPSULATED DEVICES July 11, 2006 MAXIM INTEGRATED PRODUCTS 120 SAN GABRIEL DR. SUNNYVALE, CA 94086 Written by Reviewed by Jim Pedicord

More information

Multi-Die Packaging How Ready Are We?

Multi-Die Packaging How Ready Are We? Multi-Die Packaging How Ready Are We? Rich Rice ASE Group April 23 rd, 2015 Agenda ASE Brief Integration Drivers Multi-Chip Packaging 2.5D / 3D / SiP / SiM Design / Co-Design Challenges: an OSAT Perspective

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

MAXIM INTEGRATED PRODUCTS

MAXIM INTEGRATED PRODUCTS MAX6106EUR Rev. A RELIABILITY REPORT FOR MAX6106EUR PLASTIC ENCAPSULATED DEVICES February 14, 2003 MAXIM INTEGRATED PRODUCTS 120 SAN GABRIEL DR. SUNNYVALE, CA 94086 Written by Reviewed by Jim Pedicord

More information

March 20, 2002, San Jose Dominance of embedded Memories. Ulf Schlichtmann Slide 2. esram contents [Mbit] 100%

March 20, 2002, San Jose Dominance of embedded Memories. Ulf Schlichtmann Slide 2. esram contents [Mbit] 100% Goal and Outline IC designers: awareness of memory challenges isqed 2002 Memory designers: no surprises, hopefully! March 20, 2002, San Jose Dominance of embedded Memories Tomorrows High-quality SoCs Require

More information

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics DFT Trends in the More than Moore Era Stephen Pateras Mentor Graphics steve_pateras@mentor.com Silicon Valley Test Conference 2011 1 Outline Semiconductor Technology Trends DFT in relation to: Increasing

More information