YOUNGMIN YI. B.S. in Computer Engineering, 2000 Seoul National University (SNU), Seoul, Korea

Size: px
Start display at page:

Download "YOUNGMIN YI. B.S. in Computer Engineering, 2000 Seoul National University (SNU), Seoul, Korea"

Transcription

1 YOUNGMIN YI Parallel Computing Lab Phone: +1 (925) Soda Hall Electrical Engineering and Computer Science Web: University of California, Berkeley, CA Research Interests Parallel Applications (Speech recognition, Natural language processing, Video, etc.) Parallel Programming, Parallel Embedded Software Work Experience 10/2007 present Post-doctoral researcher, University of California Berkeley (Supervisor: Prof. Kurt Keutzer) 03/ /2007 Research assistant professor, Embedded Software Institute, Korea University Education Ph.D. in Electrical Engineering and Computer Science, 2007 Dissertation: Fast and Accurate HW/SW Cosimulation of MPSoC Using Virtual Synchronization HW/SW Codesign and Parallel Processing Lab., (Advisor: Soonhoi Ha) M.S. in Electrical Engineering and Computer Science, 2002 HW/SW Codesign and Parallel Processing Lab.,(Advisor: Soonhoi Ha) B.S. in Computer Engineering, 2000

2 Publications Journals J1. Kisun You, Jike Chong, Youngmin Yi, Ekaterina Gonina, Christopher Hughes, Wonyong Sung, Kurt Keutzer, Scalable HMM based Inference Engine in Large Vocabulary Continuous Speech Recognition, under review, abstract accepted to IEEE Signal Processing Magazine, Special Issue on Signal Processing on Platforms with Multiple Cores J2. Youngmin Yi, Dohyung Kim, Soonhoi Ha, Fast and Accurate Cosimulation of MPSoC Using Trace-driven Virtual Synchronization, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 26, No. 12 Dec J3. Soonhoi Ha, Sungchan Kim, Choonseung Lee, Youngmin Yi, Seongnam Kwon, and Young-Pyo Joo, "PeaCE: A Hardware-software Codesign Environment for Multimedia Embedded Systems", ACM Transactions on Design Automation of Electronic Systems, Vol.3, No.3 Aug J4. Youngmin Yi, Dohyung Kim, Soonhoi Ha, "Fast and Time-Accurate Cosimulation with OS Scheduler Modeling", Design Automation for Embedded Systems, Kluwer Academic Publishers Vol. 8, pp , Sep.2003 Conferences C1. Jike Chong, Ekaterina Gonina, Youngmin Yi, Kurt Keutzer, A Fully Data-parallel WFST-based Large Vocabulary Continuous Speech Recognition on Graphics Processor Unit, submitted to INTERSPEECH 2009 C2. Jike Chong, Kisun You, Youngmin Yi, Ekaterina Gonina, Christopher Hughes, Wonyong Sung, Kurt Keutzer, Scalable HMM based Inference Engine in Large Vocabulary Continuous Speech Recognition, to appear in Workshop on Multimedia Signal Processing and Novel Parallel Computing at International Conference on Multimedia and Expo (ICME), Jul (Invited talk version of J1) C3. Hoeseok Yang, Youngmin Yi, Soonhoi Ha, A Timed HW/SW Coemulation Technique for Fast Yet Accurate System Verification, to appear in International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (IC-SAMOS), Jul C4. Jike Chong, Youngmin Yi, Arlo Faria, Nadathur Satish, Kurt Keutzer, Data-Parallel Large Vocabulary Continuous Speech Recognition on Graphics Processors, International workshop on Emerging Applications and many-core architecture (EAMA) at International Symposium on Computer Architectures (ISCA), Jun C5. Taewook Oh, Youngmin Yi, Soonhoi Ha, Communication Architecture Simulation

3 on the Virtual Synchronization Framework, SAMOS Workshop, Jul C6. Soonhoi Ha, Choonseung Lee, Youngmin Yi, Seongnam Kwon, and Young-Pyo Joo, "Hardware-software Codesign of Multimedia Embedded Systems: the PeaCE Approach", International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA), Aug C7. Youngmin Yi, Dohyung Kim, Soonhoi Ha, I/O Modeling and Refinement for HW/SW Codesign of Embedded Systems, International SOC Design Conference, Oct C8. Dohyung Kim, Youngmin Yi and Soonhoi Ha, "Trace-Driven HW/SW Cosimulation Using Virtual Synchronization Technique", Design Automation Conference (DAC), Jun C9. Kiseun Kwon, Youngmin Yi, Dohyung Kim, Soonhoi Ha, "Embedded Software Generation from System Level Specification for Multi-Tasking Embedded Systems", Asia and South Pacific Design Automation Conference (ASP-DAC), Jan C10. Seongnam Kwon, Choonseung Lee, Sungchan Kim, Youngmin Yi and Soonhoi Ha, "Fast Design Space Exploration Framework with an Efficient Performance Estimation Technique", International Workshop on Embedded Systems for Real Time Multimedia (ESTIMedia), Sep C11. Youngmin Yi, Dohyung Kim, Soonhoi Ha, "Virtual Synchronization Technique with OS modeling for Fast and Time-accurate Cosimulation", International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), Oct C12. Dohyung Kim, Chae-Eun Rhee, Sungchan Kim, Youngmin Yi, Hyunuk Jung and Soonhoi Ha, "Virtual Synchronization for Fast Distributed Cosimulation of Dataflow Task Graphs", International Symposium on Systems Synthesis (ISSS), Oct Selected Research Projects UPCRC ( 03/2009 Present, Parallelizing a Natural Language Parser on GPU We are currently analyzing and implementing a CKY parser on GPU using CUDA. A natural language parser is a memory-intensive application rather than a computeintensive one having order of tens of thousands of grammar rules to apply to get the best parser tree for a given sentence. We are exploring the ways to exploit the large memory bandwidth of GPU to accelerate this interesting application. 11/2007 Present, Data-parallel large vocabulary continuous speech recognition on GPU We explored trade-offs of different algorithmic styles in order to find an efficient

4 mapping of WFST (Weighted Finite State Transducer) decoding in speech recognition to GPU using CUDA. The algorithmic level design space exploration (DSE) tends to find a mapping that has a greater impact than compiler level DSE can do. We evaluated four algorithmic styles for parallel graph traversal of state transition graph of WFST, considering the effect of the algorithms on synchronization costs and load balancing. We development of large vocabulary (50,000+ words) speech recognition with Beam search algorithm on GPU(Graphics Processor Unit) using CUDA PeaCE ( 03/ /2007, HW/SW Cosimulation Technique for MPSoC 07/ /2006, Rapid Prototyping and Architecture Optimization for Embedded System by using HW/SW Codesign We proposed a new time synchronization method to accelerate system simulation speed (trace-driven virtual synchronization technique). As the number of cores increase in MPSoC or CMP, the system simulation speed decreases since not only the number of the simulators to execute increases but also the synchronization cost among them increases in conventional simulation approaches. The proposed technique employs virtual time so that each simulator can run with minimum number of synchronizations. We developed PeaCE cosimulation framework based on the trace-driven virtual synchronization technique. PeaCE cosimulation framework which was developed in C and C++ integrates third-party simulators like ARM simulators and ModelSim RTL simulators by implementing Virtual Synchronization technique in their callback functions and FLIs. HOPES ( 03/ /2007, Development of Embedded software design and verification techniques of MPSoC We developed a virtual prototyping system for HOPES framework. HOPES framework extends the capability of PeaCE framework by allowing designers to specify their application in other languages than SPDF (Synchronous Piggybacked Data Flow). Community Service Journal reviewing: TVLSI, TCAD, TODAES, Journal of Signal Processing Systems Conference reviewing: CODES+ISSS, ASP-DAC, DATE, CASES, SAMOS workshop

5 Teaching Experience 2007: Lecturer, Embedded Digital Signal Processing, Software Engineering 2002: T.A., Digital System Design Methodology

Third party names are the property of their owners.

Third party names are the property of their owners. Disclaimer READ THIS its very important The views expressed in this talk are those of the speaker and not his employer. This is an academic style talk and does not address details of any particular Intel

More information

Chapter 10. PeaCE design flow overview

Chapter 10. PeaCE design flow overview Chapter 10. PeaCE design flow overview Author: Soonhoi Ha, Dohyung Kim, and Youngmin Yie This chapter shows the overview of the overall HW/SW codesign flow in PeaCE environment. The following chapters

More information

Shin Hong. Assistant Professor Handong Global University (HGU) Pohang, Kyongbuk, South Korea (37554)

Shin Hong. Assistant Professor Handong Global University (HGU) Pohang, Kyongbuk, South Korea (37554) Shin Hong Assistant Professor hongshin@handong.edu +82-54-260-1409 School of Computer Science & Electrical Engineering 113 NMH, 558 Handong-ro, Buk-gu, Handong Global University (HGU) Pohang, Kyongbuk,

More information

Department of Electrical and Computer Engineering, University of Rochester, Computer Studies Building,

Department of Electrical and Computer Engineering, University of Rochester, Computer Studies Building, ,, Computer Studies Building, BOX 270231, Rochester, New York 14627 585.360.6181 (phone) kose@ece.rochester.edu http://www.ece.rochester.edu/ kose Research Interests and Vision Research interests: Design

More information

HAI ZHOU. Evanston, IL Glenview, IL (847) (o) (847) (h)

HAI ZHOU. Evanston, IL Glenview, IL (847) (o) (847) (h) HAI ZHOU Electrical and Computer Engineering Northwestern University 2535 Happy Hollow Rd. Evanston, IL 60208-3118 Glenview, IL 60025 haizhou@ece.nwu.edu www.ece.nwu.edu/~haizhou (847) 491-4155 (o) (847)

More information

Brian F. Cooper. Distributed systems, digital libraries, and database systems

Brian F. Cooper. Distributed systems, digital libraries, and database systems Brian F. Cooper Home Office Internet 2240 Homestead Ct. #206 Stanford University cooperb@stanford.edu Los Altos, CA 94024 Gates 424 http://www.stanford.edu/~cooperb/app/ (408) 730-5543 Stanford, CA 94305

More information

Hardware-Software Codesign. 1. Introduction

Hardware-Software Codesign. 1. Introduction Hardware-Software Codesign 1. Introduction Lothar Thiele 1-1 Contents What is an Embedded System? Levels of Abstraction in Electronic System Design Typical Design Flow of Hardware-Software Systems 1-2

More information

Computer-Aided Recoding for Multi-Core Systems

Computer-Aided Recoding for Multi-Core Systems Computer-Aided Recoding for Multi-Core Systems Rainer Dömer doemer@uci.edu With contributions by P. Chandraiah Center for Embedded Computer Systems University of California, Irvine Outline Embedded System

More information

New Approach for Affine Combination of A New Architecture of RISC cum CISC Processor

New Approach for Affine Combination of A New Architecture of RISC cum CISC Processor Volume 2 Issue 1 March 2014 ISSN: 2320-9984 (Online) International Journal of Modern Engineering & Management Research Website: www.ijmemr.org New Approach for Affine Combination of A New Architecture

More information

Verification of Multiprocessor system using Hardware/Software Co-simulation

Verification of Multiprocessor system using Hardware/Software Co-simulation Vol. 2, 85 Verification of Multiprocessor system using Hardware/Software Co-simulation Hassan M Raza and Rajendra M Patrikar Abstract--Co-simulation for verification has recently been introduced as an

More information

CATS: Cycle Accurate Transaction-driven Simulation with Multiple Processor Simulators

CATS: Cycle Accurate Transaction-driven Simulation with Multiple Processor Simulators CATS: Cycle Accurate Transaction-driven Simulation with Multiple Processor Simulators Dohyung Kim Soonhoi Ha Rajesh Gupta Department of Computer Science and Engineering School of Computer Science and Engineering

More information

Parallelizing Speaker-Attributed Speech Recognition for Meeting Browsing

Parallelizing Speaker-Attributed Speech Recognition for Meeting Browsing 2010 IEEE International Symposium on Multimedia Parallelizing Speaker-Attributed Speech Recognition for Meeting Browsing Gerald Friedland, Jike Chong, Adam Janin International Computer Science Institute

More information

PARALLELIZING WFST SPEECH DECODERS

PARALLELIZING WFST SPEECH DECODERS PARALLELIZING WFST SPEECH DECODERS Charith Mendis Massachusetts Institute of Technology Jasha Droppo, Saeed Maleki Madanlal Musuvathi Todd Mytkowicz, Geoffrey Zweig Microsoft Research ABSTRACT The performance-intensive

More information

Hardware Software Codesign of Embedded System

Hardware Software Codesign of Embedded System Hardware Software Codesign of Embedded System CPSC489-501 Rabi Mahapatra Mahapatra - Texas A&M - Fall 00 1 Today s topics Course Organization Introduction to HS-CODES Codesign Motivation Some Issues on

More information

HARDWARE/SOFTWARE cosimulation is the key enabler

HARDWARE/SOFTWARE cosimulation is the key enabler 2186 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 26, NO. 12, DECEMBER 2007 Fast and Accurate Cosimulation of MPSoC Using Trace-Driven Virtual Synchronization Youngmin

More information

Effective Memory Access Optimization by Memory Delay Modeling, Memory Allocation, and Slack Time Management

Effective Memory Access Optimization by Memory Delay Modeling, Memory Allocation, and Slack Time Management International Journal of Computer Theory and Engineering, Vol., No., December 01 Effective Memory Optimization by Memory Delay Modeling, Memory Allocation, and Slack Time Management Sultan Daud Khan, Member,

More information

Hardware, Software and Mechanical Cosimulation for Automotive Applications

Hardware, Software and Mechanical Cosimulation for Automotive Applications Hardware, Software and Mechanical Cosimulation for Automotive Applications P. Le Marrec, C.A. Valderrama, F. Hessel, A.A. Jerraya TIMA Laboratory 46 Avenue Felix Viallet 38031 Grenoble France fphilippe.lemarrec,

More information

1 Gwanak-ro, Gwanak-gu, Seoul, South Korea (+82) (mobile)

1 Gwanak-ro, Gwanak-gu, Seoul, South Korea (+82) (mobile) Bryan S. Kim Postdoctoral researcher bryansjkim@snu.ac.kr Institute of Computer Technology archi.snu.ac.kr/bryansjkim, #301-517 (+82) 2-880-7296 (office) 1 Gwanak-ro, Gwanak-gu, Seoul, South Korea (+82)

More information

Scalable Parallelization of Automatic Speech Recognition

Scalable Parallelization of Automatic Speech Recognition 1 Scalable Parallelization of Automatic Speech Recognition Jike Chong, Ekaterina Gonina, Kisun You, Kurt Keutzer 1.1 Introduction 3 1.1 Introduction Automatic speech recognition (ASR) allows multimedia

More information

Data-Parallel Large Vocabulary Continuous Speech Recognition on Graphics Processors

Data-Parallel Large Vocabulary Continuous Speech Recognition on Graphics Processors Data-Parallel Large Vocabulary Continuous Speech Recognition on Graphics Processors Jike Chong Youngmin Yi Arlo Faria Nadathur Rajagopalan Satish Kurt Keutzer Electrical Engineering and Computer Sciences

More information

Ptolemy Seamlessly Supports Heterogeneous Design 5 of 5

Ptolemy Seamlessly Supports Heterogeneous Design 5 of 5 In summary, the key idea in the Ptolemy project is to mix models of computation, rather than trying to develop one, all-encompassing model. The rationale is that specialized models of computation are (1)

More information

JOYCE JIYOUNG WHANG EDUCATION

JOYCE JIYOUNG WHANG EDUCATION JOYCE JIYOUNG WHANG Assistant Professor Department of Computer Science and Engineering Sungkyunkwan University (SKKU) Office: Engineering Building 2, #27326 Lab: Engineering Building 2, #26315B (Big Data

More information

Formal Modeling and Analysis of Stream Processing Systems

Formal Modeling and Analysis of Stream Processing Systems Formal Modeling and Analysis of Stream Processing Systems (cont.) Linh T.X. Phan March 2009 Computer and Information Science University of Pennsylvania 1 Previous Lecture General concepts of the performance

More information

Scalable Parallelization of Automatic Speech Recognition

Scalable Parallelization of Automatic Speech Recognition 1 Scalable Parallelization of Automatic Speech Recognition Jike Chong, Ekaterina Gonina, Kisun You, Kurt Keutzer 1.1 Introduction 3 1.1 Introduction Automatic speech recognition (ASR) allows multimedia

More information

Efficient Modeling of Embedded Systems using Designer-controlled Recoding. Rainer Dömer. With contributions by Pramod Chandraiah

Efficient Modeling of Embedded Systems using Designer-controlled Recoding. Rainer Dömer. With contributions by Pramod Chandraiah Efficient Modeling of Embedded Systems using Rainer Dömer With contributions by Pramod Chandraiah Center for Embedded Computer Systems University of California, Irvine Outline Introduction Designer-controlled

More information

Hardware-Software Codesign. 1. Introduction

Hardware-Software Codesign. 1. Introduction Hardware-Software Codesign 1. Introduction Lothar Thiele 1-1 Contents What is an Embedded System? Levels of Abstraction in Electronic System Design Typical Design Flow of Hardware-Software Systems 1-2

More information

Cycle accurate transaction-driven simulation with multiple processor simulators

Cycle accurate transaction-driven simulation with multiple processor simulators Cycle accurate transaction-driven simulation with multiple processor simulators Dohyung Kim 1a) and Rajesh Gupta 2 1 Engineering Center, Google Korea Ltd. 737 Yeoksam-dong, Gangnam-gu, Seoul 135 984, Korea

More information

Cosimulation of ITRON-Based Embedded Software with SystemC

Cosimulation of ITRON-Based Embedded Software with SystemC Cosimulation of ITRON-Based Embedded Software with SystemC Shin-ichiro Chikada, Shinya Honda, Hiroyuki Tomiyama, Hiroaki Takada Graduate School of Information Science, Nagoya University Information Technology

More information

Interface Design of VHDL Simulation for Hardware-Software Cosimulation

Interface Design of VHDL Simulation for Hardware-Software Cosimulation Interface Design of Simulation for Hardware-Software Cosimulation Wonyong Sung, Moonwook Oh, Soonhoi Ha Seoul National University Codesign and Parallel Processing Laboratory Seoul, Korea TEL : 2-880-7292,

More information

Yunho Kim. Software Testing and Verification Group Daehak-ro, Yuseong-gu, Daejeon, South Korea

Yunho Kim. Software Testing and Verification Group Daehak-ro, Yuseong-gu, Daejeon, South Korea Yunho Kim Ph. D in Computer Science yunho.kim03@gmail.com Software Testing and Verification Group +82-42-350-7743 School of Computing 2438 Computer Science Building (E3-1), KAIST KAIST 291 Daehak-ro, Yuseong-gu,

More information

Zhengguo Sheng. Thesis Topic: Cooperative Wireless Networks Advisor: Professor Kin K. Leung

Zhengguo Sheng. Thesis Topic: Cooperative Wireless Networks Advisor: Professor Kin K. Leung Contact Information Zhengguo Sheng Address: Top Floor Flat, 10-12 Exhibition Road, London, SW7 2HF, United Kingdom DOB: 25 June, 1983 http://www.commsp.ee.ic.ac.uk/ zs206/ Phone: +44-785-650-1629 Email:

More information

Design of a High Speed CAVLC Encoder and Decoder with Parallel Data Path

Design of a High Speed CAVLC Encoder and Decoder with Parallel Data Path Design of a High Speed CAVLC Encoder and Decoder with Parallel Data Path G Abhilash M.Tech Student, CVSR College of Engineering, Department of Electronics and Communication Engineering, Hyderabad, Andhra

More information

An Overview of the Ptolemy Project. Organizational

An Overview of the Ptolemy Project. Organizational An Overview of the Ptolemy Project Edward A. Lee Professor and Principal Investigator UC Berkeley Dept. of EECS Copyright 1997, The Regents of the University of California All rights reserved. Organizational

More information

Code Generation for TMS320C6x in Ptolemy

Code Generation for TMS320C6x in Ptolemy Code Generation for TMS320C6x in Ptolemy Sresth Kumar, Vikram Sardesai and Hamid Rahim Sheikh EE382C-9 Embedded Software Systems Spring 2000 Abstract Most Electronic Design Automation (EDA) tool vendors

More information

Jinwei Gu. Ph.D. in Computer Science Dissertation: Measurement, Modeling, and Synthesis of Time-Varying Appearance of Natural

Jinwei Gu. Ph.D. in Computer Science Dissertation: Measurement, Modeling, and Synthesis of Time-Varying Appearance of Natural Jinwei Gu CONTACT Department of Computer Science Mobile: (917) 292-9361 450 Computer Science Bldg. Phone: (212)-939-7091 (office) Columbia University Fax: (212) 666-0140 500 West 120 Street New York, NY

More information

Jung-Lin Yang. Ph.D. and M.S. degree in the Dept. of Electrical and Computer Engineering University of Utah expected spring 2003

Jung-Lin Yang. Ph.D. and M.S. degree in the Dept. of Electrical and Computer Engineering University of Utah expected spring 2003 Jung-Lin Yang Business Address: 50 South Campus Drive, RM 3280 Salt Lake City, UT 84112 (801) 581-8378 Home Address: 1115 Medical Plaza Salt Lake City, UT 84112 (801) 583-0596 (801) 949-8263 http://www.cs.utah.edu/~jyang

More information

EE382V: System-on-a-Chip (SoC) Design

EE382V: System-on-a-Chip (SoC) Design EE382V: System-on-a-Chip (SoC) Design Lecture 8 HW/SW Co-Design Sources: Prof. Margarida Jacome, UT Austin Andreas Gerstlauer Electrical and Computer Engineering University of Texas at Austin gerstl@ece.utexas.edu

More information

A 256-Radix Crossbar Switch Using Mux-Matrix-Mux Folded-Clos Topology

A 256-Radix Crossbar Switch Using Mux-Matrix-Mux Folded-Clos Topology http://dx.doi.org/10.5573/jsts.014.14.6.760 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.6, DECEMBER, 014 A 56-Radix Crossbar Switch Using Mux-Matrix-Mux Folded-Clos Topology Sung-Joon Lee

More information

II. LITERATURE SURVEY

II. LITERATURE SURVEY Hardware Co-Simulation of Sobel Edge Detection Using FPGA and System Generator Sneha Moon 1, Prof Meena Chavan 2 1,2 Department of Electronics BVUCOE Pune India Abstract: This paper implements an image

More information

Controller Synthesis for Hardware Accelerator Design

Controller Synthesis for Hardware Accelerator Design ler Synthesis for Hardware Accelerator Design Jiang, Hongtu; Öwall, Viktor 2002 Link to publication Citation for published version (APA): Jiang, H., & Öwall, V. (2002). ler Synthesis for Hardware Accelerator

More information

Parallel Dynamic Voltage and Frequency Scaling for Stream Decoding using a Multicore Embedded System

Parallel Dynamic Voltage and Frequency Scaling for Stream Decoding using a Multicore Embedded System Parallel Dynamic Voltage and Frequency Scaling for Stream Decoding using a Multicore Embedded System Yueh-Min (Ray) Huang National Cheng Kung University Outline Introduction Related work System Overview

More information

Overview. Search and Decoding. HMM Speech Recognition. The Search Problem in ASR (1) Today s lecture. Steve Renals

Overview. Search and Decoding. HMM Speech Recognition. The Search Problem in ASR (1) Today s lecture. Steve Renals Overview Search and Decoding Steve Renals Automatic Speech Recognition ASR Lecture 10 January - March 2012 Today s lecture Search in (large vocabulary) speech recognition Viterbi decoding Approximate search

More information

Hardware/Software Co-design

Hardware/Software Co-design Hardware/Software Co-design Zebo Peng, Department of Computer and Information Science (IDA) Linköping University Course page: http://www.ida.liu.se/~petel/codesign/ 1 of 52 Lecture 1/2: Outline : an Introduction

More information

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw March 2013 Agenda Introduction

More information

A Low Power Asynchronous FPGA with Autonomous Fine Grain Power Gating and LEDR Encoding

A Low Power Asynchronous FPGA with Autonomous Fine Grain Power Gating and LEDR Encoding A Low Power Asynchronous FPGA with Autonomous Fine Grain Power Gating and LEDR Encoding N.Rajagopala krishnan, k.sivasuparamanyan, G.Ramadoss Abstract Field Programmable Gate Arrays (FPGAs) are widely

More information

INTERNATIONAL JOURNAL OF RESEARCH SCIENCE & MANAGEMENT

INTERNATIONAL JOURNAL OF RESEARCH SCIENCE & MANAGEMENT MOBILE OFFLOADING USING POWER BASED DECISION IN WIRELESS COMMUNICATION M.Nivethamani 1*, Soma Prathinha 2 1* PG Scholar, Student Member, IEEE, Sri Sairam Engineering College, Chennai 2 Associate Professor,

More information

Yunho Kim. Software Testing and Verification Group Daehak-ro, Yuseong-gu, Daejeon, South Korea

Yunho Kim. Software Testing and Verification Group Daehak-ro, Yuseong-gu, Daejeon, South Korea Yunho Kim Ph. D in Computer Science yunho.kim03@gmail.com Software Testing and Verification Group +82-42-350-7743 School of Computing 2438 Computer Science Building (E3-1), KAIST KAIST 291 Daehak-ro, Yuseong-gu,

More information

FPGA for Software Engineers

FPGA for Software Engineers FPGA for Software Engineers Course Description This course closes the gap between hardware and software engineers by providing the software engineer all the necessary FPGA concepts and terms. The course

More information

How Much Logic Should Go in an FPGA Logic Block?

How Much Logic Should Go in an FPGA Logic Block? How Much Logic Should Go in an FPGA Logic Block? Vaughn Betz and Jonathan Rose Department of Electrical and Computer Engineering, University of Toronto Toronto, Ontario, Canada M5S 3G4 {vaughn, jayar}@eecgutorontoca

More information

Design and Implementation of VLSI 8 Bit Systolic Array Multiplier

Design and Implementation of VLSI 8 Bit Systolic Array Multiplier Design and Implementation of VLSI 8 Bit Systolic Array Multiplier Khumanthem Devjit Singh, K. Jyothi MTech student (VLSI & ES), GIET, Rajahmundry, AP, India Associate Professor, Dept. of ECE, GIET, Rajahmundry,

More information

Parameterized System Design

Parameterized System Design Parameterized System Design Tony D. Givargis, Frank Vahid Department of Computer Science and Engineering University of California, Riverside, CA 92521 {givargis,vahid}@cs.ucr.edu Abstract Continued growth

More information

Data-Parallel Large Vocabulary Continuous Speech Recognition on Graphics Processors

Data-Parallel Large Vocabulary Continuous Speech Recognition on Graphics Processors Data-Parallel Large Vocabulary Continuous Speech Recognition on Graphics Processors Jike Chong Youngmin Yi Arlo Faria Nadathur Satish Kurt Keutzer Department of Electrical Engineering and Computer Science

More information

A Kinect Sensor based Windows Control Interface

A Kinect Sensor based Windows Control Interface , pp.113-124 http://dx.doi.org/10.14257/ijca.2014.7.3.12 A Kinect Sensor based Windows Control Interface Sang-Hyuk Lee 1 and Seung-Hyun Oh 2 Department of Computer Science, Dongguk University, Gyeongju,

More information

JOYCE JIYOUNG WHANG. June 2008 May 2010: Undergraduate Research Assistant, Department of Computer Science and Engineering, Ewha Womans University.

JOYCE JIYOUNG WHANG. June 2008 May 2010: Undergraduate Research Assistant, Department of Computer Science and Engineering, Ewha Womans University. JOYCE JIYOUNG WHANG Assistant Professor Department of Computer Science and Engineering Sungkyunkwan University (SKKU) Office: Engineering Building 2, #27326 Lab: Engineering Building 2, #26315B (Big Data

More information

A Framework for Productive, Efficient and Portable Parallel Computing

A Framework for Productive, Efficient and Portable Parallel Computing A Framework for Productive, Efficient and Portable Parallel Computing Ekaterina I. Gonina Electrical Engineering and Computer Sciences University of California at Berkeley Technical Report No. UCB/EECS-2013-216

More information

Virtual Hardware Prototyping through Timed Hardware-Software Co-simulation

Virtual Hardware Prototyping through Timed Hardware-Software Co-simulation Virtual Hardware Prototyping through Timed Hardware-Software Co-simulation Franco Fummi franco.fummi@univr.it Mirko Loghi loghi@sci.univr.it Stefano Martini martini@sci.univr.it Marco Monguzzi # monguzzi@sitek.it

More information

The ECE Curriculum. Prof. Bruce H. Krogh Associate Dept. Head.

The ECE Curriculum. Prof. Bruce H. Krogh Associate Dept. Head. The ECE Curriculum Prof. Bruce H. Krogh Associate Dept. Head krogh@ece.cmu.edu 1 Freshman year ECE Core Courses 18-100 Introduction to Electrical and Computer Engineering physical devices analog circuits

More information

Concepts for Model Compilation in Hardware/Software Codesign

Concepts for Model Compilation in Hardware/Software Codesign Concepts for Model Compilation in Hardware/Software Codesign S. Schulz, and J.W. Rozenblit Dept. of Electrical and Computer Engineering The University of Arizona Tucson, AZ 85721 USA sschulz@ece.arizona.edu

More information

BANGLADESH UNIVERSITY OF PROFESSIONALS ACADEMIC CALENDAR FOR MPhil AND PHD PROGRAM 2014 (4 TH BATCH) PART I (COURSE WORK)

BANGLADESH UNIVERSITY OF PROFESSIONALS ACADEMIC CALENDAR FOR MPhil AND PHD PROGRAM 2014 (4 TH BATCH) PART I (COURSE WORK) BANGLADESH UNIVERSITY OF PROFESSIONALS ACADEMIC CALENDAR FOR MPhil AND PHD PROGRAM 2014 (4 TH BATCH) DAY Soci-Economic and Political History of Bangladesh PART I (COURSE WORK) 1 ST SEMESTER 2 ND SEMESTER

More information

Associate Professor Electrical and Computer Engineering Old Main Hill, Logan, Utah

Associate Professor Electrical and Computer Engineering Old Main Hill, Logan, Utah Koushik Chakraborty Contact Information Associate Professor Electrical and Computer Engineering +1 435 797 9157 Utah State University koushik.chakraborty@usu.edu 4120 Old Main Hill, Logan, Utah http://www.engr.usu.edu/ece/faculty/kchak/

More information

Software Timing Analysis Using HW/SW Cosimulation and Instruction Set Simulator

Software Timing Analysis Using HW/SW Cosimulation and Instruction Set Simulator Software Timing Analysis Using HW/SW Cosimulation and Instruction Set Simulator Jie Liu Department of EECS University of California Berkeley, CA 94720 liuj@eecs.berkeley.edu Marcello Lajolo Dipartimento

More information

The Development and Deployment of Embedded Software Curricula in Taiwan

The Development and Deployment of Embedded Software Curricula in Taiwan The Development and Deployment of Embedded Software Curricula in Taiwan Shiao-Li Tsao, Tai-Yi Huang, and Chung-Ta King Dept. of Computer Science, National Chiao Tung University, Hsinchu, Taiwan sltsao@cs.nctu.edu.tw

More information

Hardware Software Codesign of Embedded Systems

Hardware Software Codesign of Embedded Systems Hardware Software Codesign of Embedded Systems Rabi Mahapatra Texas A&M University Today s topics Course Organization Introduction to HS-CODES Codesign Motivation Some Issues on Codesign of Embedded System

More information

IN recent years, the amount of traffic has rapidly increased

IN recent years, the amount of traffic has rapidly increased , March 15-17, 2017, Hong Kong Content Download Method with Distributed Cache Management Masamitsu Iio, Kouji Hirata, and Miki Yamamoto Abstract This paper proposes a content download method with distributed

More information

Communication Systems Design in Practice

Communication Systems Design in Practice Communication Systems Design in Practice Jacob Kornerup, Ph.D. LabVIEW R&D National Instruments A Word About National Instruments Annual Revenue: $1.14 billion Global Operations: Approximately 6,870 employees;

More information

Model-based Analysis of Event-driven Distributed Real-time Embedded Systems

Model-based Analysis of Event-driven Distributed Real-time Embedded Systems Model-based Analysis of Event-driven Distributed Real-time Embedded Systems Gabor Madl Committee Chancellor s Professor Nikil Dutt (Chair) Professor Tony Givargis Professor Ian Harris University of California,

More information

Experience. A New Modular E-Learning Platform Integrating an Enhanced Multimedia. Doctoral Program in Computer and Control Engineering (XXX Cycle)

Experience. A New Modular E-Learning Platform Integrating an Enhanced Multimedia. Doctoral Program in Computer and Control Engineering (XXX Cycle) Doctoral Program in Computer and Control Engineering (XXX Cycle) A New Modular E-Learning Platform Integrating an Enhanced Multimedia Experience Candidate: Leonardo Favario Supervisor: Prof. Enrico Masala

More information

An Industrial Case Study of the ARM926EJ-S Power Modeling

An Industrial Case Study of the ARM926EJ-S Power Modeling JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.5, NO.4, DECEMBER, 2005 221 An Industrial Case Study of the ARM926EJ-S Power Modeling Hyunsuk Kim*, Seokhoon Kim*, Ikhwan Lee*, Sungjoo Yoo*, Eui-Young

More information

Duksu Kim. Professional Experience Senior researcher, KISTI High performance visualization

Duksu Kim. Professional Experience Senior researcher, KISTI High performance visualization Duksu Kim Assistant professor, KORATEHC Education Ph.D. Computer Science, KAIST Parallel Proximity Computation on Heterogeneous Computing Systems for Graphics Applications Professional Experience Senior

More information

of Soft Core Processor Clock Synchronization DDR Controller and SDRAM by Using RISC Architecture

of Soft Core Processor Clock Synchronization DDR Controller and SDRAM by Using RISC Architecture Enhancement of Soft Core Processor Clock Synchronization DDR Controller and SDRAM by Using RISC Architecture Sushmita Bilani Department of Electronics and Communication (Embedded System & VLSI Design),

More information

A Review on Parallel Logic Simulation

A Review on Parallel Logic Simulation Volume 114 No. 12 2017, 191-199 ISSN: 1311-8080 (printed version); ISSN: 1314-3395 (on-line version) url: http://www.ijpam.eu ijpam.eu A Review on Parallel Logic Simulation 1 S. Karthik and 2 S. Saravana

More information

A Low-Power Field Programmable VLSI Based on Autonomous Fine-Grain Power Gating Technique

A Low-Power Field Programmable VLSI Based on Autonomous Fine-Grain Power Gating Technique A Low-Power Field Programmable VLSI Based on Autonomous Fine-Grain Power Gating Technique P. Durga Prasad, M. Tech Scholar, C. Ravi Shankar Reddy, Lecturer, V. Sumalatha, Associate Professor Department

More information

Extension theorems in vector spaces over finite fields Dr. Alex Iosevich. Journal reviewer for International Mathematics Research Notices.

Extension theorems in vector spaces over finite fields Dr. Alex Iosevich. Journal reviewer for International Mathematics Research Notices. Doowon Koh T (517) 353-6882 B koh@math.msu.edu Education Aug.2003 Dec.2008 Dissertation Dissertation Supervisor Mar.1998 Feb.2000 Thesis Advisor Mar.1991 Feb.1998 Feb.1993 Apr.1995 Ph.D in Mathematics,

More information

System-Level Verification Platform using SystemVerilog Layered Testbench & SystemC OOP

System-Level Verification Platform using SystemVerilog Layered Testbench & SystemC OOP , pp.221-230 http://dx.doi.org/10.14257/ijca.2014.7.2.21 System-Level Verification Platform using SystemVerilog Layered Testbench & SystemC OOP Young-Jin Oh and Gi-Yong Song * Department of Electronics

More information

Contour Detection on Mobile Platforms

Contour Detection on Mobile Platforms Contour Detection on Mobile Platforms Bor-Yiing Su, subrian@eecs.berkeley.edu Prof. Kurt Keutzer, keutzer@eecs.berkeley.edu Parallel Computing Lab, University of California, Berkeley 1/26 Diagnosing Power/Performance

More information

ECE 111 ECE 111. Advanced Digital Design. Advanced Digital Design Winter, Sujit Dey. Sujit Dey. ECE Department UC San Diego

ECE 111 ECE 111. Advanced Digital Design. Advanced Digital Design Winter, Sujit Dey. Sujit Dey. ECE Department UC San Diego Advanced Digital Winter, 2009 ECE Department UC San Diego dey@ece.ucsd.edu http://esdat.ucsd.edu Winter 2009 Advanced Digital Objective: of a hardware-software embedded system using advanced design methodologies

More information

Exploring Slice-Energy Saving on an Video Processing FPGA Platform with Approximate Computing

Exploring Slice-Energy Saving on an Video Processing FPGA Platform with Approximate Computing Exploring Slice-Energy Saving on an Video Processing FPGA Platform with Approximate Computing Yunxiang Zhang, Xiaokun Yang, Lei Wu, Jiang Lu, Kewei Sha, Archit Gajjar, Han He University of Houston-Clear

More information

Co-Design of Many-Accelerator Heterogeneous Systems Exploiting Virtual Platforms. SAMOS XIV July 14-17,

Co-Design of Many-Accelerator Heterogeneous Systems Exploiting Virtual Platforms. SAMOS XIV July 14-17, Co-Design of Many-Accelerator Heterogeneous Systems Exploiting Virtual Platforms SAMOS XIV July 14-17, 2014 1 Outline Introduction + Motivation Design requirements for many-accelerator SoCs Design problems

More information

3D Memory Formed of Unrepairable Memory Dice and Spare Layer

3D Memory Formed of Unrepairable Memory Dice and Spare Layer 3D Memory Formed of Unrepairable Memory Dice and Spare Layer Donghyun Han, Hayoug Lee, Seungtaek Lee, Minho Moon and Sungho Kang, Senior Member, IEEE Dept. Electrical and Electronics Engineering Yonsei

More information

Park Sung Chul. AE MentorGraphics Korea

Park Sung Chul. AE MentorGraphics Korea PGA Design rom Concept to Silicon Park Sung Chul AE MentorGraphics Korea The Challenge of Complex Chip Design ASIC Complex Chip Design ASIC or FPGA? N FPGA Design FPGA Embedded Core? Y FPSoC Design Considerations

More information

EECS 244 Computer-Aided Design of Integrated Circuits and Systems

EECS 244 Computer-Aided Design of Integrated Circuits and Systems EECS 244 Computer-Aided Design of Integrated Circuits and Systems Professor A. Richard Newton Room 566 Cory Hall 642-2967, rnewton@ic.eecs Office Hours: Tu. Th. 3:30-4:30pm Fall 1997 Administrative Details

More information

Network-on-Chip Traffic Patterns Based on Real MPSoC Applications. Jiang Xu

Network-on-Chip Traffic Patterns Based on Real MPSoC Applications. Jiang Xu Network-on-Chip Traffic Patterns Based on Real MPSoC Applications Jiang Xu MOBILE COMPUTING SYSTEM LAB Multiprocessor System-on-Chip Put all or most part of a complex system on a single chip High performance,

More information

Bibliography. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, Practical Software Reuse, Donald J. Reifer, Wiley, 1997.

Bibliography. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, Practical Software Reuse, Donald J. Reifer, Wiley, 1997. Bibliography Books on software reuse: 1. 2. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, 1997. Practical Software Reuse, Donald J. Reifer, Wiley, 1997. Formal specification and verification:

More information

Methodology for Automatic Synthesis of Wargame Simulator using DEVS

Methodology for Automatic Synthesis of Wargame Simulator using DEVS Methodology for Automatic Synthesis of Wargame Simulator using DEVS Kim Ju Young, Shim Kwang Hyun ETRI kimjy1113@etri.re.kr, shimkh@etri.re.kr Abstract In specific domain such as wargame, simulator developers

More information

An Integrated Hardware-Software Cosimulation Environment for Heterogeneous Systems Prototyping

An Integrated Hardware-Software Cosimulation Environment for Heterogeneous Systems Prototyping An Integrated Hardware-Software Cosimulation Environment for Heterogeneous Systems Prototyping Yongjoo Kim*, Kyuseok Kim*, Youngsoo Shin*, Taekyoon Ahn*, Wonyong Sung', Kiyoung Choi*, Soonhoi Ha' * Dept.

More information

GUEST EDITORS INTRODUCTION. of the key applications of VLSI technology

GUEST EDITORS INTRODUCTION. of the key applications of VLSI technology GUEST EDITORS INTRODUCTION Multiprocessor Systemson-Chips Single processors may be sufficient for low-performance applications that are typical of early microcontrollers, but an increasing number of applications

More information

UvA-DARE (Digital Academic Repository)

UvA-DARE (Digital Academic Repository) UvA-DARE (Digital Academic Repository) Daedalus: Toward composable multimedia MP-SoC design Nikolov, H.; Thompson, M.; Stefanov, T.; Pimentel, A.D.; Polstra, S.; Bose, R.; Zissulescu, C.; Deprettere, E.

More information

Optimization of Vertical and Horizontal Beamforming Kernels on the PowerPC G4 Processor with AltiVec Technology

Optimization of Vertical and Horizontal Beamforming Kernels on the PowerPC G4 Processor with AltiVec Technology Optimization of Vertical and Horizontal Beamforming Kernels on the PowerPC G4 Processor with AltiVec Technology EE382C: Embedded Software Systems Final Report David Brunke Young Cho Applied Research Laboratories:

More information

Fast H.264 Video Decoding by Bit Order Reversing and Its Application to Real-time H.264 Video Encryption. Handong Global University, Pohang, Korea

Fast H.264 Video Decoding by Bit Order Reversing and Its Application to Real-time H.264 Video Encryption. Handong Global University, Pohang, Korea , pp.45-56 http://dx.doi.org/10.14257/ijmue.2016.11.3.05 Fast H.264 Video Decoding by Bit Order Reversing and Its Application to Real-time H.264 Video Encryption Kang Yi 1, Yuo-Han Lee 1 and Jeong-Hyun

More information

DESIGN AND IMPLEMENTATION OF VLSI SYSTOLIC ARRAY MULTIPLIER FOR DSP APPLICATIONS

DESIGN AND IMPLEMENTATION OF VLSI SYSTOLIC ARRAY MULTIPLIER FOR DSP APPLICATIONS International Journal of Computing Academic Research (IJCAR) ISSN 2305-9184 Volume 2, Number 4 (August 2013), pp. 140-146 MEACSE Publications http://www.meacse.org/ijcar DESIGN AND IMPLEMENTATION OF VLSI

More information

Eric R. Keller 8 Gloucester Ln * Ewing, NJ * (h) * (m)

Eric R. Keller 8 Gloucester Ln * Ewing, NJ * (h) * (m) RESEARCH INTEREST Eric R. Keller 8 Gloucester Ln * Ewing, NJ 08618 kellere@seas.upenn.edu * 609-359-9453(h) * 609-933-2354(m) http://www.changetheassumptions.com I design and build secure and reliable

More information

Trace-Driven Hybrid Simulation Methodology for Simulation Speedup : Rapid Evaluation of a Pipelined Processor

Trace-Driven Hybrid Simulation Methodology for Simulation Speedup : Rapid Evaluation of a Pipelined Processor Trace-Driven Hybrid Simulation Methodology for Simulation Speedup : Rapid Evaluation of a Pipelined Processor Ho Young Kim and Tag Gon Kim Systems Modeling Simulation Lab., Department of Electrical Engineering

More information

中国计算机学会推荐国际学术期刊 ( 计算机体系结构 / 并行与分布计算 / 存储系统 ) 一 A 类 序号 刊物简称 刊物全称 出版社 网址

中国计算机学会推荐国际学术期刊 ( 计算机体系结构 / 并行与分布计算 / 存储系统 ) 一 A 类 序号 刊物简称 刊物全称 出版社 网址 中国计算机学会推荐国际学术期刊 ( 计算机体系结构 / 并行与分布计算 / 存储系统 ) 一 A 类 序号 刊物简称 刊物全称 出版社 网址 1 TOCS Transactions on Computer Systems http://dblp.uni-trier.de/db/journals/tocs/ 2 TOC Transactions on Computers http://dblp.uni-trier.de/db/journals/tc/index.html

More information

104 年 03 月通過學術審查. 著作列表 Journal Papers. Conference Papers 級 :96 學年度入學, 博七

104 年 03 月通過學術審查. 著作列表 Journal Papers. Conference Papers 級 :96 學年度入學, 博七 104 年 03 月通過學術審查 年 級 :96 學年度入學, 博七 著作列表 Journal Papers 1. Cheng-Yen Lin, Chung-Wen Huang, Chi-Bang Kuan, Shi-Yu Huang, and Jenq-Kuen Lee, The Design and Experiments of A SID-Based Power-Aware Simulator

More information

However, no results are published that indicate the applicability for cycle-accurate simulation purposes. The language RADL [12] is derived from earli

However, no results are published that indicate the applicability for cycle-accurate simulation purposes. The language RADL [12] is derived from earli Retargeting of Compiled Simulators for Digital Signal Processors Using a Machine Description Language Stefan Pees, Andreas Homann, Heinrich Meyr Integrated Signal Processing Systems, RWTH Aachen pees[homann,meyr]@ert.rwth-aachen.de

More information

Abstract A SCALABLE, PARALLEL, AND RECONFIGURABLE DATAPATH ARCHITECTURE

Abstract A SCALABLE, PARALLEL, AND RECONFIGURABLE DATAPATH ARCHITECTURE A SCALABLE, PARALLEL, AND RECONFIGURABLE DATAPATH ARCHITECTURE Reiner W. Hartenstein, Rainer Kress, Helmut Reinig University of Kaiserslautern Erwin-Schrödinger-Straße, D-67663 Kaiserslautern, Germany

More information

An Application-Specific Design Methodology for STbus Crossbar Generation

An Application-Specific Design Methodology for STbus Crossbar Generation An Application-Specific Design Methodology for STbus Crossbar Generation Srinivasan Murali, Giovanni De Micheli Computer Systems Lab Stanford University Stanford, California 935 {smurali, nanni}@stanford.edu

More information

Automatic Instrumentation of Embedded Software for High Level Hardware/Software Co-Simulation

Automatic Instrumentation of Embedded Software for High Level Hardware/Software Co-Simulation Automatic Instrumentation of Embedded Software for High Level Hardware/Software Co-Simulation Aimen Bouchhima, Patrice Gerin and Frédéric Pétrot System-Level Synthesis Group TIMA Laboratory 46, Av Félix

More information

VLSI Testing. Fault Simulation. Virendra Singh. Indian Institute of Science Bangalore

VLSI Testing. Fault Simulation. Virendra Singh. Indian Institute of Science Bangalore VLSI Testing Fault Simulation Virendra Singh Indian Institute of Science Bangalore virendra@computer.org E0 286: Test & Verification of SoC Design Lecture - 4 Jan 25, 2008 E0-286@SERC 1 Fault Model - Summary

More information

A NOVEL SCANNING SCHEME FOR DIRECTIONAL SPATIAL PREDICTION OF AVS INTRA CODING

A NOVEL SCANNING SCHEME FOR DIRECTIONAL SPATIAL PREDICTION OF AVS INTRA CODING A NOVEL SCANNING SCHEME FOR DIRECTIONAL SPATIAL PREDICTION OF AVS INTRA CODING Md. Salah Uddin Yusuf 1, Mohiuddin Ahmad 2 Assistant Professor, Dept. of EEE, Khulna University of Engineering & Technology

More information