3D Memory Formed of Unrepairable Memory Dice and Spare Layer

Size: px
Start display at page:

Download "3D Memory Formed of Unrepairable Memory Dice and Spare Layer"

Transcription

1 3D Memory Formed of Unrepairable Memory Dice and Spare Layer Donghyun Han, Hayoug Lee, Seungtaek Lee, Minho Moon and Sungho Kang, Senior Member, IEEE Dept. Electrical and Electronics Engineering Yonsei University Seoul, Korea {tommyhan95; yseehy214; tegi98; and Abstract With the development of memory manufacturing technology, the density of memory die has been increased and more data can be stored in a small area than before. However, due to the complexity of the manufacturing process, faults in memory have increased. And it leads to poor yield and quality of memory. To improve yield and quality of the memory, the importance of memory test and repair is growing to maintain memory productivity. This paper presents solutions for test and repair in pre-bond. In the pre-bond, proposed method makes a new 3D stacked memory by using unrepairable memory dice which cannot be repaired with existing spare memories. Discard the bank with the largest number of faults in the unrepairable memory die and repair the remaining banks. The memory dice and a spare layer which made of the known good die or unrepairable memory die are stacked to create a 3D memory. A bank of the spare layer is mapped to discarded bank of unrepairable memory die to operate as one normal working memory die. The proposed method can lead to high yields of 3D stacked memory. Keywords memory repair, redundancy analysis, ATE, prebond repair, 3D memory, test and repair, yield improvement I. INTRODUCTION With advances in manufacturing technology, the size of memory cells has been gradually decreased, and capacity and density in 2D memory cells have been increased. As 2D memory technology reaches its limit in memory integration, the 3D stacking memory technology using through silicon vias (TSVs) has been suggested as an alternative. As a result, the development of memory chips has been evolved into the 3D embedded on-chip memory. High bandwidth, low power consumption, and reduced length of interconnection to allow less delay are enabled by the connection between memory layers using TSVs [1]-[2]. However, the development of such production techniques has increased the possibility of faulty cells in the memory. Quality and productivity of memory have been dropped due to faulty cells. Maintaining high quality and productivity has become the most important challenge in memory mass-production. As a result, effective test and repair methods for memory have been required [3]- [5]. There are two types of test and repair processes in 3D This research was supported by the MOTIE (Ministry of Trade, Industry & Energy ( ) and KSRC (Korea Semiconductor Research Consortium) support program for developing future semiconductor devices D. Han, H. Lee, S. Lee and M. Moon are with the Computer Systems Reliable SOC Laboratory, Department of Electrical and Electronic Engineering, Yonsei University, Seoul , South Korea ( {tommyhan95; yseehy214; tegi98; S. Kang (corresponding author) is associated with the Computer Systems Reliable SOC Laboratory, Department of Electrical and Electronic Engineering, Yonsei University, Seodaemoon-Gu Yonsei-Ro 50, Seoul , Korea. ( shkang@yonsei.ac.kr). stacked memory. First, there is a pre-bond test and a repair process. The pre-bond test and repair processes proceed before the 2D memories made on the wafer are stacked in 3D memory. Pre-bond testing is done through the automatic test equipment (ATE). The ATE finds faulty cells on memory dice and creates repair solutions by analyzing fault data. The memory dice are repaired by the solution which uses spare cells for pre-bond repair. In this process, memory dice are classified as repairable memory dice or unrepairable memory dice. The memory that can be repaired with spare cells which can be used in the pre-bond repair process is called known good die (KGD). KGDs are stacked to make 3D memory [6]. The post-bond test and repair process is performed using the ATE and built-in self-repair (BISR) after the memory layers are stacked. The BISR located on the base die consists of a built-in self-test (BIST) module and a built-in redundancy analysis (BIRA) module. The addresses of the faulty cells are identified through the test patterns created by the BIST module. The addresses of the faulty cells are collected by BIRA and it uses this data to generate the repair solution. The solution is generated by an analyzer designed according to a given algorithm. And the faulty cells are repaired according to the solution. Unlike the post-bond process, which allows a variety of spare memory structures, most of the pre-bond process uses 2D spare architecture. Many algorithms have been studied for efficient use of a 2D spare architecture consisting of spare rows and spare columns [7]-[9]. Representative examples include comprehensive real-time exhaustive search test and analysis (CREASTA), which finds possible solutions quickly with parallel sub-analyzers [8], and Branch algorithm that use Branch analyzers to achieve fast analysis time, optimal repair rate, and low hardware overhead [9]. However, unlike 2D spare architecture, the proposed scheme is to repair the faulty cells found in the pre-bond through the spare layer after stacking. In this paper, a new scheme for pre-bond test and repair processes are proposed. This scheme consists of the hardware structure using a spare layer and a method of selecting a memory die to be used. Through this scheme, it is possible to improve the yield of 3D memory by making stacked memories using memory dice that are not previously available. This paper is organized as follows. Section 2 discusses the background information to help understand of this paper. Section 3 describes in detail of the proposed scheme. Experimental results are analyzed in Section 4. Conclusions of this paper are given in section 5. XXX-X-XXXX-XXXX-X/XX/$XX.00 20XX IEEE

2 (a) Fig. 1. Example of 3D memory with proposed scheme. II. BACKGROUNDS A. 3D Memory Architectrue The 3D memory consists of the base die and memory dice. The base die contains the logic circuits necessary for memory operation. The memory dice are stacked vertically on the base die. The memory dice and the base die are connected by TSVs, which send the addresses and data needed for memory operation. After the memory die is produced on the wafer, it is determined whether it is a repairable memory die or an unrepairable memory die in an ongoing pre-bond test. There are three ways to stack 3D memory: wafer-to-wafer (W2W), die-to-wafer (D2W), and die-to-die(d2d). The W2W method is a method in which wafers are piled directly on each other, which is inefficient because there are restrictions on the use of pre-bond test results. However, the D2W or D2D method is efficient because it can be stacked using only repairable dice as a result of the pre-bond test. As a result, the yield of the 3D stacked memory is affected by the stacking method [10]. After stacking, the post-bond test finds additional faults in the memory. Based on the test result, it is judged by using the RA algorithm whether the 3D memory is available through the repair. B. Classification of Faults Most of the memory that is produced adopts the 2D spare architecture. The 2D spare architecture has a spare row line and a spare column line, and follows the line replacement policy. Since resources for memory repair are limited, algorithms have been studied to efficiently replace faulty cells using spare lines. For this study, the faults are divided into three types. They are single fault, spare line fault, and must-repair fault. 1) Single fault: A single fault does not share row or column address with other faults. 2) Spare line fault: The number of faults in the same row is greater than one but less than or equal to Cs, or the number of faults in the same column is greater than one but less than or equal to Rs. These faults can be repaired by both row and column spares. (b) Fig. 2. Examples of repairable judgement after fault collection. (a) In conventional memory die selecting method. (b) In proposed memory die selecting method 3) Must-repair fault: Faults that are subject to a must-re pair condition are that the number of faults in the same row exceeds Cs, or the number of faults in the same column exceeds Rs. They cannot be repaired by row spare and column spare, respectively. III. PROPOSED SCHEME The memory die selecting method and the hardware structure are presented through the test and repair process, and in-memory process of the incoming memory cell addresses will be explained. In the proposed scheme, there are two kinds of memory stacked to make 3D stacked memory. The first, unrepairable memory dice, which cannot be repaired by spare cells for the pre-bond repair process. If a bank with the most faults in the unrepairable memory is discarded, there are some memories that can be repaired among them. Unrepairable memories that meet the above conditions are used in this scheme and called selected memory dice. Second, KGD or selected memory die is used as a spare layer. The spare layer only needs to have more banks than the number of memory layers of the 3D stacked memory. Unused banks of memory layers are replaced by muxing the banks of the spare layer. The fuse structure on the base die exists to implement the mapping information that the bank of the spare memory and the bank of the memory layer. Fig. 1. is an example of a 4-layer 3D stacked memory with the proposed scheme applied. Each memory layer consists of four banks, and unused bank areas are marked in red. It is indicated that this area has been replaced

3 are accumulated in the bank 0. In the picture, it is marked with red area. If the repairability is judged again, there is one line fault and one single fault and it is possible to repair. ATE repairs the memory die using the repair solution that was created. In the past, the memory that was discarded became a memory that operates normally except for one bank. The selected memory dice thus formed are stacked with the spare layer, which is repaired in the first judgment process or became a memory that operates normally except for one bank, to form a 3D memory. In order for the unrepairable memory die to be used as a spare layer, the number of banks to be operated on the memory die must be same or more than the number of layers in 3D memory. The information of the discarded bank on each memory die and the bank information of the spare memory to replace the discarded bank are stored in fuse structure located on the base die, respectively. Fig. 3. Judging process for the incoming memory cell address in the proposed scheme. by the banks of the spare layer. This mapping data is recorded in the fuse structure which is located on the base die in addition to the logic circuit for memory operation. A. Test and Repair Processes Most of the memories in the pre-bond test process use ATE. At ATE, the test pattern is applied to the memory dice, and based on this, the addresses of the faulty memory cells are received. By checking several bits from the MSB in each of the row and column addresses of the faulty memory cell, it is possible to check which bank has the faulty cell located. At ATE, the bank and bank-by-bank count of the failed memory cell are processed along with the existing test procedure. After the fault collection, the ATE determines the repairability of the memory die based on the algorithm and the collection data. First, the repairable KGD is judged and repaired with the spare cells prepared for pre-bond repair. Here, it is determined again whether the memories are determined to be unrepairable or not. Using the information counted during the fault collection process, it discards the bank with the most faults per memory die. The repair algorithm is applied to the memory that one bank is discarded for determine repairability. When the memory dies determined to be repairable, they are repaired according to the solution made. In this paper, these memory dice are called selected memory dice. Fig. 2. (a) shows the first judgment process. As a result of fault collection, this memory with two line faults and one single fault is not repairable. In the existing pre-bond test process, the above memory is discarded. In fig. 2. (b), the second judgment process is shown. This memory die was judged unrepairable in the first judgement situation. However, after removing one of the memory banks, it can be repaired. Since it is a memory die using four banks, it is possible to check the bank information in which the fault cells are located by checking the MSB of each row and column of the faulty cell address. As a result of the fault collection, the largest number of faults B. In-memory Process of the Incoming Address While the 3D memory transferred to the user is operating, a read/write operation is performed on the memory cells. 3D memory made in proposed scheme must judge whether the incoming address should be forwarded to the memory layer or to the spare layer. In fig. 3., the judging process of the proposed scheme which determines whether the incoming address is replaced or not is shown. The memory receives read/write operation and address information for operation and information to be written in the write operation. Address is internally decoded in memory and represented as layers, rows, and columns. The decoded address information is transmitted to the fuse structure to determine whether the address corresponds to the replaced bank or not. If it is replaced, the address is changed to fused address and the memory cell of the replaced bank operates. If not, the decoded address is transferred directly to the memory die for operation. In fig. 4. mapping data which is implemented by fuse structure of fig. 1. is shown. On the left side of matching data in fig. 1. is the address of the discarded bank on the memory dice, and on the right side of matching data figure is the address of the bank to be used in the spare layer instead of the discarded bank. Since it discards one bank for each memory layer, it is the information of memory layer 0 to 3 from the top. Fig. 4. (a), the memory cell of memory layer 1 is requested. Since four bank memory die is used in fig. 1., the MSB of the row and column are checked to see which bank the address is located in. The MSB of the incoming row address is 1, and the MSB of the column address is 0. As a result of the comparison with the mapping data, the corresponding bank was replaced by bank 1 of the spare layer. The spare layer is operated and the MSB of the row and column at the incoming address is changed by fusing. Other addresses than the MSB are not touched so that addresses can be mapped in the replaced bank. In fig. 4. (b), the address for memory die 3 came in. As a result of comparing the MSB of the row and the column with the data of the fourth row of the mapping data, the decoded address is transferred to the memory die as it is because the bank is not replaced. Through the above process, each layer does not have one bank, but the user recognizes and uses it as normal memory dice and it works like other 3D memory.

4 (a) (b) Fig. 4. Internal fusing process of memory cell address. (a) Address changed by fuse structure. (b) Address does not correspond to the discarded bank. IV. EXPERIOMENTAL RESULTS The experiment assumed 10,000 3D stacked memories. One memory is stacked in four layers with 1,024 x 1,024 bit memory dice. If use the suggestion idea, five layers including the spare layer have been set up. In the experiment, the yield of the entire memory is measured by varying the number of faults present in one memory die in the pre-bond situation. The faults are randomly distributed, using the Polar- Eisenberg distribution in this process. The Polar-Eisenberg distribution is a widely used fault model for measuring integrated circuit yield [11]-[12]. The experiment assumes that each memory die has one and two spare columns and spare rows for pre-bond, respectively. In each case, a case where a general pre-bond repair was performed, a proposed idea was applied to 4 banks, and a case where the proposed idea was applied to 16 banks have experimented. The number of faults in the memory die varied from 1 to 10. Table 1 shows the experimental results. The yields of the existing structures without the proposed idea are shown in the first and fourth lines of the table. It can be seen that the lowest yield is obtained in each assumed case. If the memory die consists of 4 banks, it is shown in the second and fifth lines. The point where the existing structure and yield are 100% is the same, but it can be confirmed that the yield of other situations is higher. If Rs and Cs are each 1, larger than the number of faults per memory die is 7, the yield is same with the existing structure. This is because, in case of 4 bank, spare layer must be formed of KGD. The KGD is needed to make the proposed structure. If a number of faults are more than 8, KGD no longer exists. If the memory die consists of 16 banks, it will appear in lines 3 and 6 of the table. When Rs and Cs are each 1, the yield is the higher than 4 bank case. Because if 16 banks, unrepairable memory dice can be used for the spare layer as well as KGDs. However, when the number of faults per memory die is 6, the yield is lower than 4 banks memory die. This is because, when the number of banks of the memory die increases, the area per one bank becomes smaller, and the number of faults disappearing when one bank is not used is decreased on average. In this case, the absolute number of memories without one bank of the 4 bank case is much larger than that of the 16 bank case. From the experiments, it is confirmed that the yields are much higher than the conventional method. In addition, When the number of banks is larger than the number of memory layers, it can be used as a spare layer and a higher yield is obtained. V. CONCULSION In this paper, a scheme for creating 3D memory using memory dies that were previously unavailable through a simple additional structure is proposed and its method for TABLE I. REPAIR RATES IN VARIOUS SITUATIONS

5 operation is proposed. In the test process, the banks of the faulty cells are checked to find a repairable memory by removing one bank. These memories are stacked together with a spare memory which is made of KGD or selected memory die to construct a 3D memory. The address of the removed bank and its replacement bank data is implemented by the fuse structure on the base die. After checking that the address entered in the operation process is located in the replaced bank, if it is replaced, the address is newly routed in the memory so as to operate as normal memory. Experimental results show that the yield is much higher than that of conventional memory in any case. REFERENCES [1] W. R. Davis, J. Wilson, S. Mick, J. Xu, H. Hua, C. Mineo, et al., Demystifying 3-D ICs: The pros and cons of going vertical, IEEE Design Test Comput., vol. 22, no. 6, pp , Nov./Dec [2] Y. Xie, Processor architecture design using 3D integration technology, in Proc. Int. Conf. VLSI Design, 201 0, pp [3] H. H. S. Lee and K. Chakrabarty, Test challenges for 3D integrated circuits, IEEE Design Test Comput., vol. 26, no. 5, pp , Sep./Dec [4] B. Noia and K. Chakrabarty Testing and design-for-testability techniques for 3D integrated circuits, in Proc. Asian Test Symp., 2011, pp [5] S.-K. Lu, C.-W. Wu, and J.-F. Li, On test and repair of 3D random access memory, in Proc. IEEE Asia South Pacific Design Autom. Conf., pp , Jan./Feb [6] C. Dislis and I. P. Jalowiecki, Economics modeling for the determination of optimal known good die strategies, in Proc. IEEE Multi-Chip Module Conf., pp. 8 13, Jan./Feb [7] C. Oh, S. Kim, and J. Yang, BIRA With Optimal Repair Rate Using Fault-Free Memory Region for Area Reduction, IEEE Transactions on Circuits and Systems I: Regular Papers, vol. 64, no. 12, pp , Dec [8] T. Kawagoe, J. Ohtani, M. Niiro, T. Ooishi, M. Hamada, and H. Hidaka, A built-in self repair analyzer (CRESTA) for embedded DRAMs, in Proc. Int. Test Conf., Oct. 2000, pp [9] W. Jeong, J. Lee, T. Han, K. Lee, and S. Kang, An advanced BIRA for memories with an optimal repair rate and fast analysis speed using a branch analyzer, IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 29, no. 12, pp , Dec [10] C.-W. Wu, S.-K. Lu and J.-F. Li, On test and repair of 3D random access memory, in Proc. IEEE Asia and South Pacific Design Automation Conference., Jan./Feb. 2012, pp [11] C. H. Stapper, On a composite model to the IC yield problem, IEEE J. Solid-State Circuits, vol. 10, no. 6, pp , Dec [12] R.-F. Huang, J.-F. Li, J.-C. Yeh, and C.-W. Wu, A simulator for evaluating redundancy analysis algorithm of repairable embedded memories, in Proc. 8th IEEE Int. On-Line Testing Workshop, Jul. 2002, pp

An Area-Efficient BIRA With 1-D Spare Segments

An Area-Efficient BIRA With 1-D Spare Segments 206 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 26, NO. 1, JANUARY 2018 An Area-Efficient BIRA With 1-D Spare Segments Donghyun Kim, Hayoung Lee, and Sungho Kang Abstract The

More information

Near Optimal Repair Rate Built-in Redundancy Analysis with Very Small Hardware Overhead

Near Optimal Repair Rate Built-in Redundancy Analysis with Very Small Hardware Overhead Near Optimal Repair Rate Built-in Redundancy Analysis with Very Small Hardware Overhead Woosung Lee, Keewon Cho, Jooyoung Kim, and Sungho Kang Department of Electrical & Electronic Engineering, Yonsei

More information

AS THE capacity and density of memory gradually

AS THE capacity and density of memory gradually 844 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 25, NO. 3, MARCH 2017 Hardware-Efficient Built-In Redundancy Analysis for Memory With Various Spares Jooyoung Kim, Woosung Lee,

More information

AN OPTIMAL APPROACH FOR TESTING EMBEDDED MEMORIES IN SOCS

AN OPTIMAL APPROACH FOR TESTING EMBEDDED MEMORIES IN SOCS International Journal of Engineering Inventions ISSN: 2278-7461, www.ijeijournal.com Volume 1, Issue 8 (October2012) PP: 76-80 AN OPTIMAL APPROACH FOR TESTING EMBEDDED MEMORIES IN SOCS B.Prathap Reddy

More information

A Built-In Redundancy-Analysis Scheme for RAMs with 2D Redundancy Using 1D Local Bitmap

A Built-In Redundancy-Analysis Scheme for RAMs with 2D Redundancy Using 1D Local Bitmap A Built-In Redundancy-Analysis Scheme for RAMs with D Redundancy Using D Local Bitmap Tsu-Wei Tseng, Jin-Fu Li, and Da-Ming Chang Advanced Reliable Systems (ARES) Laboratory Department of Electrical Engineering

More information

Parallelized Network-on-Chip-Reused Test Access Mechanism for Multiple Identical Cores

Parallelized Network-on-Chip-Reused Test Access Mechanism for Multiple Identical Cores IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 35, NO. 7, JULY 2016 1219 Parallelized Network-on-Chip-Reused Test Access Mechanism for Multiple Identical Cores Taewoo

More information

A Proposed RAISIN for BISR for RAM s with 2D Redundancy

A Proposed RAISIN for BISR for RAM s with 2D Redundancy A Proposed RAISIN for BISR for RAM s with 2D Redundancy Vadlamani Sai Shivoni MTech Student Department of ECE Malla Reddy College of Engineering and Technology Anitha Patibandla, MTech (PhD) Associate

More information

Optimized Built-In Self-Repair for Multiple Memories

Optimized Built-In Self-Repair for Multiple Memories Optimized Built-In Self-Repair for Multiple Memories Abstract: A new built-in self-repair (BISR) scheme is proposed for multiple embedded memories to find optimum point of the performance of BISR for multiple

More information

At-Speed Wordy-R-CRESTA Optimal Analyzer to Repair Word- Oriented Memories

At-Speed Wordy-R-CRESTA Optimal Analyzer to Repair Word- Oriented Memories , pp.269-280 http://dx.doi.org/10.14257/ijhit.2013.6.6.24 At-peed Wordy-R-CRETA Optimal Analyzer to Repair Word- Oriented Memories Rahebeh Niaraki Asli, hahin Khodadadi and Payam Habiby University of Guilan,

More information

Repair Analysis for Embedded Memories Using Block-Based Redundancy Architecture

Repair Analysis for Embedded Memories Using Block-Based Redundancy Architecture , July 4-6, 2012, London, U.K. Repair Analysis for Embedded Memories Using Block-Based Redundancy Architecture Štefan Krištofík, Elena Gramatová, Member, IAENG Abstract Capacity and density of embedded

More information

Fully Programmable Memory BIST for Commodity DRAMs

Fully Programmable Memory BIST for Commodity DRAMs Fully Programmable BIST for Commodity DRAMs Ilwoong Kim, Woosik Jeong, Dongho Kang, and Sungho Kang To accomplish a high-speed test on low-speed automatic test equipment (ATE), a new instruction-based

More information

Design and Implementation of Improved BISR Strategy for Systems-on-a-Chip (SoC)

Design and Implementation of Improved BISR Strategy for Systems-on-a-Chip (SoC) RESEARCH ARTICLE OPEN ACCESS Design and Implementation of Improved BISR Strategy for Systems-on-a-Chip (SoC) Mr. D. Sri Harsha 1, Mr. D. Surendra Rao 2 1 Assistant Professor, Dept. of ECE, GNITC, Hyderabad

More information

Optimal Built-In Self Repair Analyzer for Word-Oriented Memories

Optimal Built-In Self Repair Analyzer for Word-Oriented Memories Optimal Built-In Self Repair Analyzer for Word-Oriented Memories B.Prabhakaran 1, J.Asokan 2, Dr.G.K.D.PrasannaVenkatesan 3 Post Graduate student- ME in Communication Systems 1, Assistant Professor 2,Vice

More information

Improving Memory Repair by Selective Row Partitioning

Improving Memory Repair by Selective Row Partitioning 200 24th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems Improving Memory Repair by Selective Row Partitioning Muhammad Tauseef Rab, Asad Amin Bawa, and Nur A. Touba Computer

More information

POWERFUL BISR DESIGN FOR EMBEDDED SRAM WITH SELECTABLE REDUNDANCY

POWERFUL BISR DESIGN FOR EMBEDDED SRAM WITH SELECTABLE REDUNDANCY POWERFUL BISR DESIGN FOR EMBEDDED SRAM WITH SELECTABLE REDUNDANCY 1 K Naveen, 2 AMaruthi Phanindra, 3 M Bhanu Venkatesh, 4 M Anil Kumar Dept. of Electronics and Communication Engineering, MLR Institute

More information

SELF CORRECTING MEMORY DESIGN FOR FAULT FREE CODING IN PROGRESSIVE DATA STREAMING APPLICATION

SELF CORRECTING MEMORY DESIGN FOR FAULT FREE CODING IN PROGRESSIVE DATA STREAMING APPLICATION SELF CORRECTING MEMORY DESIGN FOR FAULT FREE CODING IN PROGRESSIVE DATA STREAMING APPLICATION ABSTRACT Harikishore.Kakarla 1, Madhavi Latha.M 2 and Habibulla Khan 3 1, 3 Department of ECE, KL University,

More information

Built-in i Repair Analysis 2010. 10. 20 Woosik.jeong@hynix.com Contents 1. RA 2. BIRA 3. Previous Works 4. Summary 1/ 38 1. RA 2. BIRA 3. Previous Works 4. Summary 2/ 38 Repair What is Repair? Replacing

More information

A novel test access mechanism for parallel testing of multi-core system

A novel test access mechanism for parallel testing of multi-core system LETTER IEICE Electronics Express, Vol.11, No.6, 1 6 A novel test access mechanism for parallel testing of multi-core system Taewoo Han, Inhyuk Choi, and Sungho Kang a) Dept of Electrical and Electronic

More information

Built-in Self-Test and Repair (BISTR) Techniques for Embedded RAMs

Built-in Self-Test and Repair (BISTR) Techniques for Embedded RAMs Built-in Self-Test and Repair (BISTR) Techniques for Embedded RAMs Shyue-Kung Lu and Shih-Chang Huang Department of Electronic Engineering Fu Jen Catholic University Hsinchuang, Taipei, Taiwan 242, R.O.C.

More information

An Integrated ECC and Redundancy Repair Scheme for Memory Reliability Enhancement

An Integrated ECC and Redundancy Repair Scheme for Memory Reliability Enhancement An Integrated ECC and Redundancy Repair Scheme for Memory Reliability Enhancement Chin-LungSu,Yi-TingYeh,andCheng-WenWu Laboratory for Reliable Computing (LaRC) Department of Electrical Engineering National

More information

RE-CONFIGURABLE BUILT IN SELF REPAIR AND REDUNDANCY MECHANISM FOR RAM S IN SOCS Ravichander Bogam 1, M.Srinivasa Reddy 2 1

RE-CONFIGURABLE BUILT IN SELF REPAIR AND REDUNDANCY MECHANISM FOR RAM S IN SOCS Ravichander Bogam 1, M.Srinivasa Reddy 2 1 RE-CONFIGURABLE BUILT IN SELF REPAIR AND REDUNDANCY MECHANISM FOR RAM S IN SOCS Ravichander Bogam 1, M.Srinivasa Reddy 2 1 Department of Electronics and Communication Engineering St. Martins Engineering

More information

A Novel Massively Parallel Testing Method Using Multi-Root for High Reliability

A Novel Massively Parallel Testing Method Using Multi-Root for High Reliability IEEE TRANSACTIONS ON RELIABILITY 1 A Novel Massively Parallel Testing Method Using Multi-Root for High Reliability Haksong Kim, Yong Lee, and Sungho Kang, Member, IEEE Abstract Wafer testing (wafer sort)

More information

Jin-Fu Li Dept. of Electrical Engineering National Central University

Jin-Fu Li Dept. of Electrical Engineering National Central University Memory Built-In Self-Repair Dept. of Electrical Engineering National Central University Jungli, Taiwan Introduction Outline Redundancy Organizations Built-In Redundancy Analysis Built-In Self-Repair Infrastructure

More information

Test Resource Reused Debug Scheme to Reduce the Post-Silicon Debug Cost

Test Resource Reused Debug Scheme to Reduce the Post-Silicon Debug Cost IEEE TRANSACTIONS ON COMPUTERS, VOL. 67, NO. 12, DECEMBER 2018 1835 Test Resource Reused Debug Scheme to Reduce the Post-Silicon Debug Cost Inhyuk Choi, Hyunggoy Oh, Young-Woo Lee, and Sungho Kang, Senior

More information

An Advanced and more Efficient Built-in Self-Repair Strategy for Embedded SRAM with Selectable Redundancy

An Advanced and more Efficient Built-in Self-Repair Strategy for Embedded SRAM with Selectable Redundancy An Advanced and more Efficient Built-in Self-Repair Strategy for Embedded SRAM with Selectable Redundancy A. Sharone Michael.1 #1, K.Sivanna.2 #2 #1. M.tech student Dept of Electronics and Communication,

More information

Efficient BISR strategy for Embedded SRAM with Selectable Redundancy using MARCH SS algorithm. P. Priyanka 1 and J. Lingaiah 2

Efficient BISR strategy for Embedded SRAM with Selectable Redundancy using MARCH SS algorithm. P. Priyanka 1 and J. Lingaiah 2 Proceedings of International Conference on Emerging Trends in Engineering & Technology (ICETET) 29th - 30 th September, 2014 Warangal, Telangana, India (SF0EC009) ISSN (online): 2349-0020 Efficient BISR

More information

Test-Architecture Optimization for 3D Stacked ICs

Test-Architecture Optimization for 3D Stacked ICs ACM STUDENT RESEARCH COMPETITION GRAND FINALS 1 Test-Architecture Optimization for 3D Stacked ICs I. PROBLEM AND MOTIVATION TSV-based 3D-SICs significantly impact core-based systemon-chip (SOC) design.

More information

Test Cost Analysis for 3D Die-to-Wafer Stacking

Test Cost Analysis for 3D Die-to-Wafer Stacking 2 9th IEEE Asian Test Symposium Test Cost Analysis for 3D Die-to-Wafer Stacking Mottaqiallah Taouil Said Hamdioui Kees Beenakker 2 Computer Engineering Lab 2 DIMES Technology Center Delft University of

More information

Efficient Built In Self Repair Strategy for Embedded SRAM with selectable redundancy

Efficient Built In Self Repair Strategy for Embedded SRAM with selectable redundancy Efficient Built In Self Repair Strategy for Embedded SRAM with selectable redundancy *GUDURU MALLIKARJUNA **Dr. P. V.N.REDDY * (ECE, GPCET, Kurnool. E-Mailid:mallikarjuna3806@gmail.com) ** (Professor,

More information

TSV Test. Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea

TSV Test. Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea TSV Test Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea # Agenda TSV Test Issues Reliability and Burn-in High Frequency Test at Probe (HFTAP) TSV Probing Issues DFT Opportunities

More information

Test-Architecture Optimization for TSV-Based 3D Stacked ICs

Test-Architecture Optimization for TSV-Based 3D Stacked ICs Test-Architecture Optimization for TSV-Based D Stacked ICs Brandon Noia 1, Sandeep Kumar Goel 1, Krishnendu Chakrabarty 1, Erik Jan Marinissen, and Jouke Verbree 1 Dept. Electrical and Computer Engineering

More information

A Test Integration Methodology for 3D Integrated Circuits

A Test Integration Methodology for 3D Integrated Circuits 2 9th IEEE Asian Test Symposium A Test Integration Methodology for 3D Integrated Circuits Che-Wei Chou and Jin-Fu Li Department of Electrical Engineering National Central University Jhongli, Taiwan 32

More information

A Review paper on the Memory Built-In Self-Repair with Redundancy Logic

A Review paper on the Memory Built-In Self-Repair with Redundancy Logic International Journal of Engineering and Applied Sciences (IJEAS) A Review paper on the Memory Built-In Self-Repair with Redundancy Logic Er. Ashwin Tilak, Prof. Dr.Y.P.Singh Abstract The Present review

More information

SRAM Delay Fault Modeling and Test Algorithm Development

SRAM Delay Fault Modeling and Test Algorithm Development SRAM Delay Fault Modeling and Test Algorithm Development Rei-Fu Huang, Yan-Ting Lai, Yung-Fa Chou, and Cheng-Wen Wu Laboratory for Reliable Computing (LaRC) Department of Electrical Engineering National

More information

Efficient Repair Rate Estimation of Redundancy Algorithms for Embedded Memories

Efficient Repair Rate Estimation of Redundancy Algorithms for Embedded Memories , July 3 5, 2013, London, U.K. Efficient Repair Rate Estimation of Redundancy Algorithms for Embedded Memories Štefan Krištofík, Member, IAENG Abstract One important feature of redundancy analysis (RA)

More information

BUILT IN REDUNDANCY ALGORITHMS FOR MEMORY YIELD ENHANCEMENT

BUILT IN REDUNDANCY ALGORITHMS FOR MEMORY YIELD ENHANCEMENT International Journal of Electronics and Communication Engineering and Technology (IJECET) Volume 9, Issue 3, May-June 2018, pp. 13 22, Article ID: IJECET_09_03_002 Available online at http://www.iaeme.com/ijecet/issues.asp?jtype=ijecet&vtype=9&itype=3

More information

A New Scan Chain Fault Simulation for Scan Chain Diagnosis

A New Scan Chain Fault Simulation for Scan Chain Diagnosis JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.7, NO.4, DECEMBER, 2007 221 A New Scan Chain Fault Simulation for Scan Chain Diagnosis Sunghoon Chun, Taejin Kim, Eun Sei Park, and Sungho Kang Abstract

More information

Scalable Controller Based PMBIST Design For Memory Testability M. Kiran Kumar, G. Sai Thirumal, B. Nagaveni M.Tech (VLSI DESIGN)

Scalable Controller Based PMBIST Design For Memory Testability M. Kiran Kumar, G. Sai Thirumal, B. Nagaveni M.Tech (VLSI DESIGN) Scalable Controller Based PMBIST Design For Memory Testability M. Kiran Kumar, G. Sai Thirumal, B. Nagaveni M.Tech (VLSI DESIGN) Abstract With increasing design complexity in modern SOC design, many memory

More information

THREE algorithms suitable for built-in redundancy analysis

THREE algorithms suitable for built-in redundancy analysis 386 IEEE TRANSACTIONS ON RELIABILITY, VOL. 52, NO. 4, DECEMBER 2003 Built-In Redundancy Analysis for Memory Yield Improvement Chih-Tsun Huang, Member, IEEE, Chi-Feng Wu, Member, IEEE, Jin-Fu Li, Member,

More information

Towards Performance Modeling of 3D Memory Integrated FPGA Architectures

Towards Performance Modeling of 3D Memory Integrated FPGA Architectures Towards Performance Modeling of 3D Memory Integrated FPGA Architectures Shreyas G. Singapura, Anand Panangadan and Viktor K. Prasanna University of Southern California, Los Angeles CA 90089, USA, {singapur,

More information

Global Built-In Self-Repair for 3D Memories with Redundancy Sharing and Parallel Testing

Global Built-In Self-Repair for 3D Memories with Redundancy Sharing and Parallel Testing Global Built-In Self-Repair for 3D Memories with Redundancy Sharing and Parallel Testing Xiaodong Wang 1 Dilip Vasudevan Hsien-Hsin S. Lee xw285@cornell.edu dv2@cs.ucc.ie leehs@gatech.edu School of Electrical

More information

and self-repair for memories, and (iii) support for

and self-repair for memories, and (iii) support for A BIST Implementation Framework for Supporting Field Testability and Configurability in an Automotive SOC Amit Dutta, Srinivasulu Alampally, Arun Kumar and Rubin A. Parekhji Texas Instruments, Bangalore,

More information

Block Sparse and Addressing for Memory BIST Application

Block Sparse and Addressing for Memory BIST Application Block Sparse and Addressing for Memory BIST Application Mohammed Altaf Ahmed 1, D Elizabath Rani 2 and Syed Abdul Sattar 3 1 Dept. of Electronics & Communication Engineering, GITAM Institute of Technology,

More information

VLSI IMPLEMENTATION OF L2 MEMORY DESIGN FOR 3-D INTEGRATION G.Sri Harsha* 1, S.Anjaneeyulu 2

VLSI IMPLEMENTATION OF L2 MEMORY DESIGN FOR 3-D INTEGRATION G.Sri Harsha* 1, S.Anjaneeyulu 2 ISSN 2277-2685 IJESR/June 2016/ Vol-6/Issue-6/150-156 G. Sri Harsha et. al., / International Journal of Engineering & Science Research VLSI IMPLEMENTATION OF L2 MEMORY DESIGN FOR 3-D INTEGRATION G.Sri

More information

An Integrated Built-in Test and Repair Approach for Memories with 2D Redundancy

An Integrated Built-in Test and Repair Approach for Memories with 2D Redundancy An Integrated Built-in Test and Repair Approach for Memories with 2D Redundancy Philipp Öhler and Sybille Hellebrand University of Paderborn Germany {oehler,hellebrand}@uni-paderborn.de Hans-Joachim Wunderlich

More information

A Low-Power ECC Check Bit Generator Implementation in DRAMs

A Low-Power ECC Check Bit Generator Implementation in DRAMs 252 SANG-UHN CHA et al : A LOW-POWER ECC CHECK BIT GENERATOR IMPLEMENTATION IN DRAMS A Low-Power ECC Check Bit Generator Implementation in DRAMs Sang-Uhn Cha *, Yun-Sang Lee **, and Hongil Yoon * Abstract

More information

A Universal Test Pattern Generator for DDR SDRAM *

A Universal Test Pattern Generator for DDR SDRAM * A Universal Test Pattern Generator for DDR SDRAM * Wei-Lun Wang ( ) Department of Electronic Engineering Cheng Shiu Institute of Technology Kaohsiung, Taiwan, R.O.C. wlwang@cc.csit.edu.tw used to detect

More information

Design and Implementation of Microcode based Built-in Self-Test for Fault Detection in Memory and its Repair

Design and Implementation of Microcode based Built-in Self-Test for Fault Detection in Memory and its Repair Design and Implementation of Microcode based Built-in Self-Test for Fault Detection in Memory and its Repair C. Padmini Assistant Professor(Sr.Grade), ECE Vardhaman college of Engineering, Hyderabad, INDIA

More information

On Optimizing Test Cost for Wafer-to-Wafer 3D-Stacked ICs

On Optimizing Test Cost for Wafer-to-Wafer 3D-Stacked ICs On Optimizing Test Cost for Wafer-to-Wafer 3D-Stacked ICs Mottaqiallah Taouil Said Hamdioui Computer Engineering Laboratory Delft University of Technology Faculty of EE, Mathematics and CS Mekelweg 4,

More information

Jin-Fu Li. Department of Electrical Engineering National Central University Jhongli, Taiwan

Jin-Fu Li. Department of Electrical Engineering National Central University Jhongli, Taiwan Yield eda and Reliability- Enhancement Techniques for Random Access Memories Jin-Fu Li Advanced d Reliable Systems (ARES) Lab. Department of Electrical Engineering National Central University Jhongli,

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 10 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Content Manufacturing Defects Wafer defects Chip defects Board defects system defects

More information

An Integrated ECC and BISR Scheme for Error Correction in Memory

An Integrated ECC and BISR Scheme for Error Correction in Memory An Integrated ECC and BISR Scheme for Error Correction in Memory Shabana P B 1, Anu C Kunjachan 2, Swetha Krishnan 3 1 PG Student [VLSI], Dept. of ECE, Viswajyothy College Of Engineering & Technology,

More information

TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS

TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS Navaneetha Velammal M. 1, Nirmal Kumar P. 2 and Getzie Prija A. 1 1 Department of Electronics and Communications

More information

Embedded Static RAM Redundancy Approach using Memory Built-In-Self-Repair by MBIST Algorithms

Embedded Static RAM Redundancy Approach using Memory Built-In-Self-Repair by MBIST Algorithms Embedded Static RAM Redundancy Approach using Memory Built-In-Self-Repair by MBIST Algorithms Mr. Rakesh Manukonda M.Tech. in VLSI &ES, MLEC, Singarayakonda, Mr. Suresh Nakkala Asst. Prof. in E.C.E MLEC,

More information

Test/Repair Area Overhead Reduction for Small Embedded SRAMs

Test/Repair Area Overhead Reduction for Small Embedded SRAMs Test/Repair Area Overhead Reduction for Small Embedded SRAMs Baosheng Wang and Qiang Xu ATI Technologies Inc., 1 Commerce Valley Drive East, Markham, ON, Canada L3T 7X6, bawang@ati.com Dept. of Computer

More information

On GPU Bus Power Reduction with 3D IC Technologies

On GPU Bus Power Reduction with 3D IC Technologies On GPU Bus Power Reduction with 3D Technologies Young-Joon Lee and Sung Kyu Lim School of ECE, Georgia Institute of Technology, Atlanta, Georgia, USA yjlee@gatech.edu, limsk@ece.gatech.edu Abstract The

More information

FPGA Based Low Area Motion Estimation with BISCD Architecture

FPGA Based Low Area Motion Estimation with BISCD Architecture www.ijecs.in International Journal Of Engineering And Computer Science ISSN:2319-7242 Volume 3 Issue 10 October, 2014 Page No. 8610-8614 FPGA Based Low Area Motion Estimation with BISCD Architecture R.Pragathi,

More information

High Performance Interconnect and NoC Router Design

High Performance Interconnect and NoC Router Design High Performance Interconnect and NoC Router Design Brinda M M.E Student, Dept. of ECE (VLSI Design) K.Ramakrishnan College of Technology Samayapuram, Trichy 621 112 brinda18th@gmail.com Devipoonguzhali

More information

[Kalyani*, 4.(9): September, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

[Kalyani*, 4.(9): September, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY SYSTEMATIC ERROR-CORRECTING CODES IMPLEMENTATION FOR MATCHING OF DATA ENCODED M.Naga Kalyani*, K.Priyanka * PG Student [VLSID]

More information

A Scalable and Parallel Test Access Strategy for NoC-based Multicore System

A Scalable and Parallel Test Access Strategy for NoC-based Multicore System A Scalable and Parallel Test Access Strategy for NoC-based Multicore System Taewoo Han, hyuk Choi, Hyunggoy Oh, Sungho Kang Department of Electrical and Electronic Engineering Computer systems & reliable

More information

Three DIMENSIONAL-CHIPS

Three DIMENSIONAL-CHIPS IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) ISSN: 2278-2834, ISBN: 2278-8735. Volume 3, Issue 4 (Sep-Oct. 2012), PP 22-27 Three DIMENSIONAL-CHIPS 1 Kumar.Keshamoni, 2 Mr. M. Harikrishna

More information

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Charles Stroud, Ping Chen, Srinivasa Konala, Dept. of Electrical Engineering University of Kentucky and Miron Abramovici

More information

High-Yield Repairing Algorithms for 2D Memory with Clustered Faults

High-Yield Repairing Algorithms for 2D Memory with Clustered Faults High-Yield Repairing Algorithms for 2D Memory with Clustered Faults Tsung-Chu Huang ( 黃宗柱 ) Department of Electronic Engineering National Changhua University of Education 2011/05/20 @CSE.NCHU Outline Introduction

More information

P2FS: supporting atomic writes for reliable file system design in PCM storage

P2FS: supporting atomic writes for reliable file system design in PCM storage LETTER IEICE Electronics Express, Vol.11, No.13, 1 6 P2FS: supporting atomic writes for reliable file system design in PCM storage Eunji Lee 1, Kern Koh 2, and Hyokyung Bahn 2a) 1 Department of Software,

More information

EFFICIENT MEMORY BUILT - IN SELF TEST FOR EMBEDDED SRAM USING PA ALGORITHM

EFFICIENT MEMORY BUILT - IN SELF TEST FOR EMBEDDED SRAM USING PA ALGORITHM EFFICIENT MEMORY BUILT - IN SELF TEST FOR EMBEDDED SRAM USING PA ALGORITHM G.PRAKASH #1, S.SARAVANAN #2 #1 M.Tech, School of Computing #2 Assistant Professor, SASTRA University, Thanjavur. #1 Prakashganesh.be@gmail.com,

More information

Low Power Cache Design. Angel Chen Joe Gambino

Low Power Cache Design. Angel Chen Joe Gambino Low Power Cache Design Angel Chen Joe Gambino Agenda Why is low power important? How does cache contribute to the power consumption of a processor? What are some design challenges for low power caches?

More information

DIRECT Rambus DRAM has a high-speed interface of

DIRECT Rambus DRAM has a high-speed interface of 1600 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 34, NO. 11, NOVEMBER 1999 A 1.6-GByte/s DRAM with Flexible Mapping Redundancy Technique and Additional Refresh Scheme Satoru Takase and Natsuki Kushiyama

More information

Exploiting Unused Spare Columns to Improve Memory ECC

Exploiting Unused Spare Columns to Improve Memory ECC 2009 27th IEEE VLSI Test Symposium Exploiting Unused Spare Columns to Improve Memory ECC Rudrajit Datta and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering

More information

International Journal of Digital Application & Contemporary research Website: (Volume 1, Issue 7, February 2013)

International Journal of Digital Application & Contemporary research Website:   (Volume 1, Issue 7, February 2013) Programmable FSM based MBIST Architecture Sonal Sharma sonal.sharma30@gmail.com Vishal Moyal vishalmoyal@gmail.com Abstract - SOCs comprise of wide range of memory modules so it is not possible to test

More information

On Test Generation by Input Cube Avoidance

On Test Generation by Input Cube Avoidance On Test Generation by Input Cube Avoidance Irith Pomeranz 1 and Sudhakar M. Reddy 2 School of Electrical & Computer Eng. Electrical & Computer Eng. Dept. Purdue University University of Iowa W. Lafayette,

More information

IJCSIET--International Journal of Computer Science information and Engg., Technologies ISSN

IJCSIET--International Journal of Computer Science information and Engg., Technologies ISSN Implementation of EDDR Architecture for High Speed Motion Estimation Testing Applications A.MADHAV KUMAR #1, S.RAGAHAVA RAO #2,B.V.RAMANA #3, V.RAMOJI #4 ECE Department, Bonam Venkata Chalamayya Institute

More information

An On-Chip Error Detection Method to Reduce the Post-Silicon Debug Time

An On-Chip Error Detection Method to Reduce the Post-Silicon Debug Time 38 IEEE TRANSACTIONS ON COMPUTERS, VOL. 66, NO. 1, JANUARY 2017 An On-Chip Error Detection Method to Reduce the Post-Silicon Debug Time Hyunggoy Oh, Taewoo Han, Inhyuk Choi, and Sungho Kang, Member, IEEE

More information

VLSI Architecture to Detect/Correct Errors in Motion Estimation Using Biresidue Codes

VLSI Architecture to Detect/Correct Errors in Motion Estimation Using Biresidue Codes VLSI Architecture to Detect/Correct Errors in Motion Estimation Using Biresidue Codes Harsha Priya. M 1, Jyothi Kamatam 2, Y. Aruna Suhasini Devi 3 1,2 Assistant Professor, 3 Associate Professor, Department

More information

GLOBAL JOURNAL OF ENGINEERING SCIENCE AND RESEARCHES A NOVAL BISR APPROACH FOR EMBEDDED MEMORY SELF REPAIR G. Sathesh Kumar *1 & V.

GLOBAL JOURNAL OF ENGINEERING SCIENCE AND RESEARCHES A NOVAL BISR APPROACH FOR EMBEDDED MEMORY SELF REPAIR G. Sathesh Kumar *1 & V. GLOBAL JOURNAL OF ENGINEERING SCIENCE AND RESEARCHES A NOVAL BISR APPROACH FOR EMBEDDED MEMORY SELF REPAIR G. Sathesh Kumar *1 & V. Saminadan 2 *1 Research Scholar, Department of ECE, Pondcherry Engineering

More information

Modeling and Simulation of Multi-Operation Microcode-based Built-in Self Test for Memory Fault Detection and Repair

Modeling and Simulation of Multi-Operation Microcode-based Built-in Self Test for Memory Fault Detection and Repair Modeling and Simulation of Multi-Operation Microcode-based Built-in Self Test for Memory Fault Detection and Repair Dr. R.K. Sharma and Aditi Sood Abstract As embedded memory area on-chip is increasing

More information

Efficiently Utilizing ATE Vector Repeat for Compression by Scan Vector Decomposition

Efficiently Utilizing ATE Vector Repeat for Compression by Scan Vector Decomposition Efficiently Utilizing ATE Vector Repeat for Compression by Scan Vector Decomposition Jinkyu Lee and Nur A. Touba Computer Engineering Research Center University of Teas, Austin, TX 7872 {jlee2, touba}@ece.uteas.edu

More information

A Reconfigured Twisted Ring Counter Using Tristate Coding For Test Data Compression

A Reconfigured Twisted Ring Counter Using Tristate Coding For Test Data Compression A Reconfigured Twisted Ring Counter Using Tristate Coding For Test Data Compression 1 R.Kanagavalli, 2 Dr.O.Saraniya 1 PG Scholar, 2 Assistant Professor Department of Electronics and Communication Engineering,

More information

Sram Cell Static Faults Detection and Repair Using Memory Bist

Sram Cell Static Faults Detection and Repair Using Memory Bist Sram Cell Static Faults Detection and Repair Using Memory Bist Shaik Moulali *, Dr. Fazal Noor Bhasha, B.Srinivas, S.Dayasagar chowdary, P.Srinivas, K. Hari Kishore Abstract Memories are one of the most

More information

Efficient Test Compaction for Combinational Circuits Based on Fault Detection Count-Directed Clustering

Efficient Test Compaction for Combinational Circuits Based on Fault Detection Count-Directed Clustering Efficient Test Compaction for Combinational Circuits Based on Fault Detection Count-Directed Clustering Aiman El-Maleh, Saqib Khurshid King Fahd University of Petroleum and Minerals Dhahran, Saudi Arabia

More information

A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip

A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip 2010 25th International Symposium on Defect and Fault Tolerance in VLSI Systems A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip Min-Ju Chan and Chun-Lung Hsu Department of Electrical

More information

Yield Enhancement Considerations for a Single-Chip Multiprocessor System with Embedded DRAM

Yield Enhancement Considerations for a Single-Chip Multiprocessor System with Embedded DRAM Yield Enhancement Considerations for a Single-Chip Multiprocessor System with Embedded DRAM Markus Rudack Dirk Niggemeyer Laboratory for Information Technology Division Design & Test University of Hannover

More information

ADVANCES in chip design and test technology have

ADVANCES in chip design and test technology have IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 Majority-Based Test Access Mechanism for Parallel Testing of Multiple Identical Cores Taewoo Han, Inhyuk Choi, and Sungho Kang Abstract

More information

A Spatial Point Pattern Analysis to Recognize Fail Bit Patterns in Semiconductor Manufacturing

A Spatial Point Pattern Analysis to Recognize Fail Bit Patterns in Semiconductor Manufacturing A Spatial Point Pattern Analysis to Recognize Fail Bit Patterns in Semiconductor Manufacturing Youngji Yoo, Seung Hwan Park, Daewoong An, Sung-Shick Shick Kim, Jun-Geol Baek Abstract The yield management

More information

TSV Minimization for Circuit Partitioned 3D SoC Test Wrapper Design

TSV Minimization for Circuit Partitioned 3D SoC Test Wrapper Design ChengYQ,ZhangL,HanYHet al. TSV minimization for circuit Partitioned 3D SoC test wrapper design. JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY 28(1): 119 128 Jan. 2013. DOI 10.1007/s11390-013-1316-6 TSV Minimization

More information

ISSN Vol.04,Issue.01, January-2016, Pages:

ISSN Vol.04,Issue.01, January-2016, Pages: WWW.IJITECH.ORG ISSN 2321-8665 Vol.04,Issue.01, January-2016, Pages:0077-0082 Implementation of Data Encoding and Decoding Techniques for Energy Consumption Reduction in NoC GORANTLA CHAITHANYA 1, VENKATA

More information

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape Edition April 2017 Semiconductor technology & processing 3D systems-on-chip A clever partitioning of circuits to improve area, cost, power and performance. In recent years, the technology of 3D integration

More information

Processor and DRAM Integration by TSV- Based 3-D Stacking for Power-Aware SOCs

Processor and DRAM Integration by TSV- Based 3-D Stacking for Power-Aware SOCs Processor and DRAM Integration by TSV- Based 3-D Stacking for Power-Aware SOCs Shin-Shiun Chen, Chun-Kai Hsu, Hsiu-Chuan Shih, and Cheng-Wen Wu Department of Electrical Engineering National Tsing Hua University

More information

3-D integrated circuits (3-D ICs) have emerged as a

3-D integrated circuits (3-D ICs) have emerged as a IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 6, NO. 4, APRIL 2016 637 Probe-Pad Placement for Prebond Test of 3-D ICs Shreepad Panth, Member, IEEE, and Sung Kyu Lim, Senior

More information

Fault Tolerant Prevention in FIFO Buffer of NOC Router

Fault Tolerant Prevention in FIFO Buffer of NOC Router Fault Tolerant Prevention in FIFO Buffer of NOC Router Varalakshmi Dandu 1, P. Annapurna Bai 2 Dept. of ECE, St.Mark Educational Society, Affiliated to JNTUA, AP, India 1 Assistant Professor, Dept. of

More information

Design and Implementation of Built-in-Self Test and Repair

Design and Implementation of Built-in-Self Test and Repair P.Ravinder, N.Uma Rani / International Journal of Engineering Research and Applications (IJERA) Design and Implementation of Built-in-Self Test and Repair P.Ravinder*, N.Uma Rani** * (Guru Nanak Institute

More information

TEST cost in the integrated circuit (IC) industry has

TEST cost in the integrated circuit (IC) industry has IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 33, NO. 8, AUGUST 2014 1219 Utilizing ATE Vector Repeat with Linear Decompressor for Test Vector Compression Joon-Sung

More information

1 Introduction & The Institution of Engineering and Technology 2008 IET Comput. Digit. Tech., 2008, Vol. 2, No. 4, pp.

1 Introduction & The Institution of Engineering and Technology 2008 IET Comput. Digit. Tech., 2008, Vol. 2, No. 4, pp. Published in IET Computers & Digital Techniques Received on 15th May 2007 Revised on 17th December 2007 Selected Papers from NORCHIP 06 ISSN 1751-8601 Architecture for integrated test data compression

More information

Hardware Sharing Design for Programmable Memory Built-In Self Test

Hardware Sharing Design for Programmable Memory Built-In Self Test International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 10, Issue 6 (June 2014), PP.77-83 Hardware Sharing Design for Programmable Memory

More information

Breaking the Energy Barrier in Fault-Tolerant Caches for Multicore Systems

Breaking the Energy Barrier in Fault-Tolerant Caches for Multicore Systems Breaking the Energy Barrier in Fault-Tolerant Caches for Multicore Systems Paul Ampadu, Meilin Zhang Dept. of Electrical and Computer Engineering University of Rochester Rochester, NY, 14627, USA

More information

Scan-Based BIST Diagnosis Using an Embedded Processor

Scan-Based BIST Diagnosis Using an Embedded Processor Scan-Based BIST Diagnosis Using an Embedded Processor Kedarnath J. Balakrishnan and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 56, NO. 1, JANUARY 2009 81 Bit-Level Extrinsic Information Exchange Method for Double-Binary Turbo Codes Ji-Hoon Kim, Student Member,

More information

Self-Repair for Robust System Design. Yanjing Li Intel Labs Stanford University

Self-Repair for Robust System Design. Yanjing Li Intel Labs Stanford University Self-Repair for Robust System Design Yanjing Li Intel Labs Stanford University 1 Hardware Failures: Major Concern Permanent: our focus Temporary 2 Tolerating Permanent Hardware Failures Detection Diagnosis

More information

Static Compaction Techniques to Control Scan Vector Power Dissipation

Static Compaction Techniques to Control Scan Vector Power Dissipation Static Compaction Techniques to Control Scan Vector Power Dissipation Ranganathan Sankaralingam, Rama Rao Oruganti, and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer

More information

Test-Cost Optimization and Test-Flow Selection for 3D-Stacked ICs

Test-Cost Optimization and Test-Flow Selection for 3D-Stacked ICs Test-Cost Optimization and Test-Flow Selection for 3D-Stacked ICs Mukesh Agrawal Electrical & Computer Engineering Duke University, Durham, NC 7708 Krishnendu Chakrabarty Electrical & Computer Engineering

More information

High Throughput Radix-4 SISO Decoding Architecture with Reduced Memory Requirement

High Throughput Radix-4 SISO Decoding Architecture with Reduced Memory Requirement JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.4, AUGUST, 2014 http://dx.doi.org/10.5573/jsts.2014.14.4.407 High Throughput Radix-4 SISO Decoding Architecture with Reduced Memory Requirement

More information