Associate Professor Electrical and Computer Engineering Old Main Hill, Logan, Utah

Size: px
Start display at page:

Download "Associate Professor Electrical and Computer Engineering Old Main Hill, Logan, Utah"

Transcription

1 Koushik Chakraborty Contact Information Associate Professor Electrical and Computer Engineering Utah State University 4120 Old Main Hill, Logan, Utah Research Interests VLSI Design and Automation, Circuit-Architectural Co-design. Education Ph.D., University of Wisconsin-Madison, August 2008 M.S., University of Wisconsin-Madison, May 2004 B.Tech., Indian Institute of Technology, Kanpur, India May 2000 Awards and Nominations Best Paper Award Nomination, 2014 ACM/IEEE International Conference on Hardware/Software Codesign and System Synthesis (CODES-ISSS). Best Paper Award, 2012 IEEE International Conference on Computer Design (ICCD). Best Paper Award Nomination, 2011 IEEE/ACM Design Automation and Test in Europe (DATE). Best Paper Award Nomination, 2010 IEEE VLSI Design Conference (VLSID). Peer Reviewed Conference Publications C1. Runtime Detection of a Bandwidth Denial Attack from a Rogue Network-on-Chip Rajesh JayashankaraShridevi 1, Dean Michael Ancajas 1, Koushik Chakraborty, and Sanghamitra Roy IEEE/ACM International Symposium on Network-on-Chip, September Acceptance Rate: 23% C2. Tackling Voltage Emergencies in NoC Through Timing Error Resilience Rajesh JayashankaraShridevi, Dean Michael Ancajas 1, Koushik Chakraborty, and Sanghamitra Roy IEEE/ACM International Symposium on Low-Power Electronic Devices, August Regular Paper, Acceptance Rate: 18% C3. Opportunistic Turbo Execution in NTC: Exploiting the Paradigm Shift in Performance Bottlenecks Hu Chen 1, Dieudonne Manzi 1, Sanghamitra Roy, and Koushik Chakraborty ACM/IEEE Design Automation Conference (DAC), June Acceptance Rate: 21% C4. Tackling QoS-induced Aging in Exascale Systems Through Agile Path Selection Dean Michael Ancajas 1, Koushik Chakraborty, Sanghamitra Roy, and Jason Allred 1 IEEE/ACM/IFIP International Conference on Hardware/software Codesign and System Synthesis (CODES-ISSS), October Won Best Paper Award Nomination (3 out of 117 submissions: 2.56%) C5. Fort-NoCs: Mitigating the threat of a Compromised NoC Dean Michael Ancajas 1, Koushik Chakraborty, and Sanghamitra Roy, ACM/IEEE Design Automation Conference (DAC), June Acceptance Rate:22% C6. DARP:Dynamically Adaptable Resilient Pipeline Design in Microprocessors Hu Chen 1, Sanghamitra Roy, and Koushik Chakraborty ACM/IEEE Design Automation and Test in Europe (DATE), March Acceptance Rate: 23.1% (Regular Paper). 1 USU students.

2 C7. Exploiting Static and Dynamic Locality of Timing Errors in Robust L1 Cache Design Hu Chen 1, Sanghamitra Roy, and Koushik Chakraborty IEEE International Symposium on Quality Electronic Design (ISQED), pp. 9 15, March C8. A Global Router on GPU Architecture Yiding Han 1, Koushik Chakraborty, and Sanghamitra Roy IEEE International Conference on Computer Design (ICCD), October Acceptance Rate: 25.1% (Regular Paper). C9. Long Term Sustainability of Differentially Reliable Systems in the Dark Silicon Era Jason Allred 1, Sanghamitra Roy, and Koushik Chakraborty IEEE International Conference on Computer Design (ICCD), October Acceptance Rate: 25.1% (Regular Paper). C10. HCI Tolerant NoC Router Micro-architecture Dean Michael Ancajas 1, James McCabe Nickerson 1, Koushik Chakraborty, and Sanghamitra Roy, ACM/IEEE Design Automation Conference (DAC), Article 40, June Acceptance Rate:22% C11. Efficiently Tolerating Timing Violations in Pipelined Microprocessors Koushik Chakraborty, Brennan Cozzens 1, Sanghamitra Roy, and Dean Michael Ancajas 1 ACM/IEEE Design Automation Conference (DAC), Article 102, June Acceptance Rate:22% C12. DMR3D: Dynamic Memory Relocation in 3D Multicore Systems Dean Michael Ancajas 1, Koushik Chakraborty, and Sanghamitra Roy ACM/IEEE Design Automation Conference (DAC), Article 157, June Acceptance Rate:22% C13. Proactive Aging Management in Heterogeneous NoCs through a Criticality-driven Routing Approach Dean Michael Ancajas 1, Koushik Chakraborty, and Sanghamitra Roy ACM/IEEE Design Automation and Test in Europe (DATE), pp , 2013 Acceptance Rate: 24.5% C14. Mitigating NBTI in the Physical Register File through Stress Prediction Saurabh Kothawade 1, Dean Michael Ancajas 1, IEEE International Conference on Computer Design (ICCD), pp , October Won Best Paper Award (5 out of 246 submissions: 2%) C15. Designing for Dark Silicon: A Methodological Perspective in Energy Efficient Systems Jason Allred 1, Sanghamitra Roy, and Koushik Chakraborty ACM International Symposium on Low Power Electronics and Design (ISLPED), pp , July Acceptance Rate: 30.1% C16. Predicting Timing Violations Through Instruction Level Path Sensitization Analysis. IEEE/ACM Design Automation Conference (DAC), pp , June Acceptance Rate: 22%. C17. Towards Graceful Aging Degradation in NoCs Through an Adaptive Routing Algorithm. Kshitij Bhardwaj 1, Koushik Chakraborty, and Sanghamitra Roy IEEE/ACM Design Automation Conference (DAC), pp , June Acceptance Rate: 22%. C18. An MILP-Based Aging-Aware Routing Algorithm for NoCs Kshitij Bhardwaj 1, Koushik Chakraborty, and Sanghamitra Roy ACM/IEEE Design Automation and Test in Europe (DATE), pp , March 2012 Acceptance Rate: 27%.

3 C19. Power-Performance Yield Optimization for MPSoCs Using MILP Kshitij Bhardwaj 1, Sanghamitra Roy, and Koushik Chakraborty IEEE International Symposium on Quality Electronic Design (ISQED), pp , March 2012 C20. Process Variation Aware DRAM Design Using Block Based Adaptive Body Biasing Algorithm Satyajit Desai 1, Sanghamitra Roy, and Koushik Chakraborty IEEE International Symposium on Quality Electronic Design (ISQED), pp , March 2012 C21. Exploring High Throughput Computing Paradigm for Global Routing Yiding Han 1, Dean Michael Ancajas 1, ACM/IEEE International Conference on Computer-Aided Design (ICCAD), 2011, pp Acceptance Rate: 30% C22. Topologically Homogeneous Power-Performance Heterogeneous Multicore Systems. IEEE Design Automation and Test in Europe (DATE), pp. 1 6, March Won Best Paper Award Nomination (5 out of 789 submissions: 0.63%) Regular Paper Acceptance Rate: 25% C23. Optimizing Simulated Annealing on GPU: A Case Study with IC Floorplanning. Yiding Han 1, Sanghamitra Roy, and Koushik Chakraborty IEEE International Symposium on Quality Electronic Design (ISQED), pp. 1 7, March Regular Paper Acceptance Rate: 31.7%, DOI: /ISQED , C24. Analysis and Mitigation of NBTI Aging in Register File: An End-To-End Approach Saurabh Kothawade 1, Koushik Chakraborty, and Sanghamitra Roy IEEE International Symposium on Quality Electronic Design (ISQED), pp. 1 7, March Regular Paper Acceptance Rate: 31.7%, DOI: /ISQED C25. Integrated Circuit-Architectural Framework for PSN Aware Floorplanning in Microprocessors. Mandar Padmawar 1, Sanghamitra Roy, and Koushik Chakraborty IEEE International Symposium on Quality Electronic Design (ISQED), pp. 1 7, March 2011 Regular Paper Acceptance Rate: 31.7%, DOI: /ISQED C26. A GPU Algorithm for IC Floorplanning: Specification, Analysis and Optimization Yiding Han 1, Koushik Chakraborty, Sanghamitra Roy, Vilasita Kuntamukkala 1 IEEE/ACM 24th VLSI Design Conference (VLSID), pp , January 2011 Acceptance Rate: 20% (66 accepted out of 330 submissions). C27. Microarchitecture Aware Gate Sizing: A Framework for Circuit-Architectural Co-Optimization IEEE International Conference on Computer Design (ICCD), pp , October Regular Paper Acceptance Rate: 29.6%. C28. A Convex Optimization Framework for Leakage Aware Thermal Provisioning in 3D Multicore Architectures IEEE International Symposium on Quality Electronic Design (ISQED), pp , Regular Paper Acceptance Rate: 32.6%. C29. Re-thinking Threshold Voltage Assignment in 3D Multicore Designs. IEEE VLSI Design Conference (VLSID), pp , Won Best Paper Award Nomination (5 out of 320 submissions: 1.56%) C30. Mixed-Mode Multicore Reliability. Philip Wells, Koushik Chakraborty, Gurindar Sohi. IEEE/ACM International Conference on Architectural Support for Programming Languages

4 and Operating Systems (ASPLOS), pp , Acceptance Rate: 26%. C31. Adapting to Intermittent Faults in Multicore Systems. Philip Wells, Koushik Chakraborty, Gurindar Sohi. IEEE/ACM International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), pp , Acceptance Rate: 24%. C32. Computation Spreading: Employing Hardware Migration to Specialize CMP Cores on-thefly. Koushik Chakraborty, Philip Wells, Gurindar Sohi. IEEE/ACM International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), pp , Acceptance Rate: 24%. C33. Hardware Spin Management in Overcommitted Virtual Machines Philip Wells, Koushik Chakraborty, Gurindar Sohi. ACM International Conference on Parallel Architectures and Compilation Techniques (PACT), pp , Journal Publications J1. Wearout Resilience in NoCs Through an Aging Aware Adaptive Routing Algorithm Dean M. Ancajas 1, Kshitij Bhardwaj, Koushik Chakraborty, and Sanghamitra Roy IEEE Transactions on Very Large Scale Integration Systems (TVLSI). DOI: /TVLSI J2. Dark Silicon Aware Multicore Systems: Employing Design Automation with Architectural Insight Jason Allred 1, IEEE Transactions on Very Large Scale Integration Systems (TVLSI). DOI: /TVLSI J3. Exploring High Throughput Computing Paradigm for Global Routing Yiding Han 1, Dean Michael Ancajas 1, Koushik Chakraborty, and Sanghamitra Roy IEEE Transactions on Very Large Scale Integration Systems (TVLSI). DOI: /TVLSI J4. Architecturally Homogeneous Power-Performance Heterogeneous Multicore Systems IEEE Transactions on Very Large Scale Integration Systems (TVLSI), Vol. 21, No. 4, pp , April DOI: /TVLSI J5. Analysis and Mitigation of BTI Aging in Register File: An End-To-End Approach Saurabh Kothawade 1, and Koushik Chakraborty Elsevier Journal of Microelectronics Reliability (MR), Vol. 53, Issue 1, pp , January J6. Analysis of Intermittent Timing Fault Vulnerability Saurabh Kothawade 1, Koushik Chakraborty, Sanghamitra Roy, and Yiding Han 1 Elsevier Journal of Microelectronics Reliability (MR), Vol. 52, Issue 7, pp , July 2012 J7. Maximizing Energy Efficiency in 3D Multicore Systems: A Formalized Approach Taylor-Francis International Journal of Electronics (in press). DOI: /

5 J8. Stack Aware Threshold Voltage Assignment in 3D Multicore Designs. IEEE Transactions on Very Large Scale Integration Systems (TVLSI), Vol. 20, No. 3, pp , March J9. Supporting Overcommitted Virtual Machines Through Hardware Spin Detection. Koushik Chakraborty, Philip M. Wells, and Gurindar S. Sohi IEEE Transactions on Parallel and Distributed Systems (TPDS), Vol. 23, No. 2, pp , February J10. Microprocessor Power Supply Noise Aware Floorplanning using a Circuit-Architectural Framework Mandar Padmavar 1, Sanghamitra Roy, and Koushik Chakraborty Journal of Low Power Electronics (JOLPE), Volume 7, Number 3, pp , August 2011, American Scientific Publishers. J11. Design and Implementation of a Throughput Optimized GPU Floorplanning Algorithm. Yiding Han 1, Koushik Chakraborty, Sanghamitra Roy, and Vilasita Kuntamukkala 1 ACM Transactions on Design Automation of Electronic Systems (TODAES), Volume 16, Issue 3, No. 23, pp 23:1 23:21, J12. Exploiting Dynamic Micro-Architecture Usage in Gate Sizing. Microprocessors and Microsystems (MICPRO), Volume 35, pages , 2011, Elsevier Publishing. J13. A Novel Threshold Voltage Assignment for 3D Multicore Design. Journal of Low Power Electronics (JOLPE), Volume 6, Number 4, pp , October 2010, American Scientific Publishers. J14. Dynamic Heterogeneity and the Need for Multicore Virtualization. Philip Wells, Koushik Chakraborty, Gurindar Sohi ACM SIGOPS Operating System Reviews (OSR), Volume 43, Issue 2, pp (2009). Patents Aging-Aware Routing for NoCs Kshitij Bhardwaj, Koushik Chakraborty, and Sanghamitra Roy Patent Filed, No. 13/793,904, File Date: 3/11/2013. Predicting Timing Violations Through Instruction Level Path Sensitization Analysis. Patent Filed, No. 13/707,977, File Date: 12/7/2012. Architecturally Homogeneous Power-Performance Heterogeneous Multicore Systems Patent Allowed, No. 13/495,961, File Date: 6/13/2012. System and Method for Circuit Design Floorplanning Sanghamitra Roy, Koushik Chakraborty, and Yiding Han Patent Allowed, No. 13/013,654 File Date: 1/25/2011. Over-provisioned Multicore Processor Koushik Chakraborty, Philip Wells, Gurindar Sohi. US Patent No. 7,962,774 B2, Issued on 6/14/2011. Funding F1. TWC: Small: Understanding and Mitigating the Threat of a Malicious Network-on-Chip National Science Foundation (NSF), Sep 2014 Aug $516,000.0 Role: PI.

6 F2. CSR: Small: DARP: Promoting Energy Efficient System Design Through a Dynamically Adaptable Resilient Pipeline National Science Foundation (NSF), Oct 2014 Sep $441, Role: Co-I F3. SHF:Small:Boosting Sustainability in NoC Architectures through a Proactive Approach National Science Foundation (NSF), Sep 2013 Aug $515,948. Role: PI. F4. CSR:Small:Employing Design Automation to Build Foundations for Holistic Multicore Design National Science Foundation (NSF), Aug 2011 July $386,000. Role: Co-I. F5. Coupling Memory Controllers with Virtualization for High Performance 3D Systems (Extension) Micron Research Foundation, Jan 2011 Dec $255,000. Role: PI. F6. GPU-CAD: Floorplanning Using Throughput Computing Utah Science Technology and Research Initiative (USTAR), Jan 2010 Dec $ Role: Co-I. F7. High-Speed River Dynamics Modeling Using Graphics Processors. Utah Water Research Laboratory, July 2009 June $49,925. Role: PI. Technical Reports A Case for Over-provisioned Multicore Systems Koushik Chakraborty, Philip Wells, Gurindar Sohi Technical Report TR1607, University of Wisconsin-Madison, August Professional Activities Technical Program Committee, IEEE/ACM Design Automation and Test in Europe (DATE), Technical Program Committee, IEEE/ACM Asia-Pacific Design Automation Conference (ASP- DAC), 2015 Technical Program Committee, IEEE Real Time and Embedded Technology and Application Symposium (RTAS), 2014 Technical Program Committee, ACM International Symposium on Low Power Electronic Devices (ISLPED), 2014 Technical Program Committee, IEEE International Conference on Computer Design (ICCD), NSF panelist, Technical Program Committee, IEEE/ACM GLSVLSI Conference, Technical Program Committee, 24th IEEE/ACM VLSI Design Conference, 2011 Technical Program Committee, International Symposium on Electronic Design, 2010 Registration Chair, ACM Conference on Code Generation and Optimization, 2010 Technical Program Committee, 39th IEEE International Conference of Parallel Processing, 2010 Journal Reviewer: ACM Journal of Emerging Technologies, IEEE TPDS, IEEE TVLSI, IEEE TCAD, ACM TECS, ACM TODAES IEEE Design and Test of Computers, IEEE Transactions on Semiconductor Manufacturing External Reviewer: DAC , MICRO 2011, HPCA 2009, ISCA 2008, HPCA 2008, HPCA Professional Experience Utah State University, Logan, Utah Associate Professor, Electrical and Computer Engineering Utah State University, Logan, Utah July, 2014 present Assistant Professor, Electrical and Computer Engineering January, 2009 June 2014 nvidia Inc., Santa Clara, California Hardware Summer Intern May, 2007 August, 2007 Worked on performance optimization of next generation graphics processor. Intel Incorporation,

7 Hudson, Massachusetts Architecture Summer Intern May, 2004 August, 2004 Designed and implemented multi-threading in the performance model for a 8-core next generation multicore. Lucent Technologies, Murray Hill, New Jersey Member of Technical Staff-I, Bell Laboratories November, 2000 June, 2002 Designed and implemented several research prototypes covering system design aspects of database, network communication and security. Talks and Presentations Understanding and Mitigating Malicious Network-on-Chips, Invited Speaker, SaTC/SRC Kickoff event, Washington DC, January Predicting Timing Violation: A Circuit-Architectural Perspective on Robust System Design, Invited Speaker, Intel Hudson, July Predicting Timing Violation: A Circuit-Architectural Perspective on Robust System Design, Invited Speaker, AMD, July Fort-NoCs: Mitigating the threat of a Compromised NoC, DAC, June DARP:Dynamically Adaptable Resilient Pipeline Design in Microprocessors, DATE, March Timing Speculation for Approximate Computing, Invited Speaker, Approximate Computing Workshop organized by Intel, August Efficiently Tolerating Timing Violations in Pipelined Microprocessors, DAC, June Predicting Timing Violation: A Circuit-Architectural Perspective on Robust System Design, Invited Speaker, Intel Circuits Research Lab, May Proactive Aging Management in Heterogeneous NoCs through a Criticality-driven Routing Approach, DATE, March Towards Graceful Aging Degradation in NoCs Through an Adaptive Routing Algorithm, DAC, June Exploring High Throughput Computing Paradigm for Global Routing, ICCAD, November Integrated Circuit-Architectural Framework for PSN Aware Floorplanning in Microprocessors, ISQED, March Analysis and Mitigation of NBTI Aging in Register File: An End-To-End Approach, ISQED, March Flexible Computation Assignment in Multicore System, Invited Talk, Micron Inc., October Flexible Computation Assignment in Multicore System, Invited Talk, Brigham Young University, April Flexible Computation Assignment in Multicore System, Interview Talk at VMware, April Over-provisioned Multicore System, Invited Talk at VMware, September 2007 GPGPU: An Architect s Perspective, UW Architecture Seminar, March 2007 Computation Spreading: Employing Hardware Migration to Specialize CMP Cores On-the-fly, ASPLOS, October 2006 Computation Reassignment: Efficient Use of Multicore Systems, UW Architecture Affiliates, October 2006 Computation Spreading: Avoiding Code Duplication Overhead in CMPs, UW Architecture Affiliates, October 2005 Vector Processors: Past, Present and Future, UW Architecture Seminar, May 2004 Memory Degree of Use, UW Architecture Affiliates, October 2003 Departmental Service Computer Engineering Curriculum Modernization, Chair, Spring 2014-present Faculty Search Committee, Fall 2010-Spring 2011 Graduate Committee, Fall 2010-present Technician Search Committee, 2010 Faculty Search Committee, Spring 2010-Summer Current Students Dean M. Ancajas (Ph.D, expected Spring 2015) Chen Hu (Ph.D., co-advisor, expected Summer 2017)

8 Rajesh JayashankarShridevi (Ph.D., expected Summer 2017) Chidham R (Ph.D., co-advisor, expected Fall 2017) Prabal Basu (Ph.D., expected Spring 2018) Atif Yasin (Ph.D., co-advisor, expected Spring 2018) Dieudonne Manzi (M.S., co-advisor, expected Summer 2015) Kurt Brenning (M.S., expected Summer 2016) Shamik Saha (M.S., expected Summer 2016) Graduated Students Yidin Han (Ph.D, 2013, First Employment: Synopsys R&D) Saurabh Kothawade (M.S, Fall 2011, currently at QualComm) Vilasita Kuntamukkala (M.S. co-advisor, Summer 2011, currently at Intel) Kshitij Bhardwaj (M.S. Summer 2012, currently Ph.D. student at Columbia University) Satyajit Desai (M.S. co-advisor, Summer 2012, currently at Qualcomm) Jason Allred (M.S. co-advisor, Summer 2013, currently at Hill AirForce Base) Jacob Duston (M.S. Summer 2013, currently at Space Dynamics Lab) Brennan Cozzens (B.S. Spring 2013, currently pursuing M.S. at RPI) James McCabe Nickerson (B.S. Spring 2013) Brian Cluff (B.S, Spring 2014, co-advised with Prof. Sanghamitra Roy)

Department of Electrical and Computer Engineering, University of Rochester, Computer Studies Building,

Department of Electrical and Computer Engineering, University of Rochester, Computer Studies Building, ,, Computer Studies Building, BOX 270231, Rochester, New York 14627 585.360.6181 (phone) kose@ece.rochester.edu http://www.ece.rochester.edu/ kose Research Interests and Vision Research interests: Design

More information

HAI ZHOU. Evanston, IL Glenview, IL (847) (o) (847) (h)

HAI ZHOU. Evanston, IL Glenview, IL (847) (o) (847) (h) HAI ZHOU Electrical and Computer Engineering Northwestern University 2535 Happy Hollow Rd. Evanston, IL 60208-3118 Glenview, IL 60025 haizhou@ece.nwu.edu www.ece.nwu.edu/~haizhou (847) 491-4155 (o) (847)

More information

YOUNGMIN YI. B.S. in Computer Engineering, 2000 Seoul National University (SNU), Seoul, Korea

YOUNGMIN YI. B.S. in Computer Engineering, 2000 Seoul National University (SNU), Seoul, Korea YOUNGMIN YI Parallel Computing Lab Phone: +1 (925) 348-1095 573 Soda Hall Email: ymyi@eecs.berkeley.edu Electrical Engineering and Computer Science Web: http://eecs.berkeley.edu/~ymyi University of California,

More information

Graphics Processing Unit-Based Computer-Aided Design Algorithms for Electronic Design Automation

Graphics Processing Unit-Based Computer-Aided Design Algorithms for Electronic Design Automation Utah State University DigitalCommons@USU All Graduate Theses and Dissertations Graduate Studies 2014 Graphics Processing Unit-Based Computer-Aided Design Algorithms for Electronic Design Automation Yiding

More information

中国计算机学会推荐国际学术期刊 ( 计算机体系结构 / 并行与分布计算 / 存储系统 ) 一 A 类 序号 刊物简称 刊物全称 出版社 网址

中国计算机学会推荐国际学术期刊 ( 计算机体系结构 / 并行与分布计算 / 存储系统 ) 一 A 类 序号 刊物简称 刊物全称 出版社 网址 中国计算机学会推荐国际学术期刊 ( 计算机体系结构 / 并行与分布计算 / 存储系统 ) 一 A 类 序号 刊物简称 刊物全称 出版社 网址 1 TOCS Transactions on Computer Systems http://dblp.uni-trier.de/db/journals/tocs/ 2 TOC Transactions on Computers http://dblp.uni-trier.de/db/journals/tc/index.html

More information

An MILP-Based Aging-Aware Routing Algorithm for NoCs

An MILP-Based Aging-Aware Routing Algorithm for NoCs An MILP-Based Aging-Aware Routing Algorithm for NoCs Kshitij Bhardwaj Koushik Chakraborty Sanghamitra Roy BRIDGE Lab Electrical and Computer Engineering Utah State University 1 Outline NoC basics Motivation

More information

SPYROS TRAGOUDAS. Professor and Department Chair Site Director, NSF I/UCRC for Embedded Systems

SPYROS TRAGOUDAS. Professor and Department Chair Site Director, NSF I/UCRC for Embedded Systems SPYROS TRAGOUDAS Professor and Department Chair Site Director, NSF I/UCRC for Embedded Systems Electrical & Computer Engineering Department Southern Illinois University Carbondale, IL 62901 cell: (618)

More information

Dr. Spencer Sevilla Postdoctoral Researcher, University of Washington

Dr. Spencer Sevilla Postdoctoral Researcher, University of Washington Dr. Spencer Sevilla Postdoctoral Researcher, University of Washington email: sevilla@cs.washington.edu Research Interests Computer networks, Host mobility, Web technology, Content caching Education University

More information

Title: ====== Open Research Compiler (ORC): Proliferation of Technologies and Tools

Title: ====== Open Research Compiler (ORC): Proliferation of Technologies and Tools Tutorial Proposal to Micro-36 Title: ====== Open Research Compiler (ORC): Proliferation of Technologies and Tools Abstract: ========= Open Research Compiler (ORC) has been well adopted by the research

More information

Xin Fu. Assistant Professor, Electrical Engineering and Computer Science Department, University of Kansas, August 2010 ~ present

Xin Fu. Assistant Professor, Electrical Engineering and Computer Science Department, University of Kansas, August 2010 ~ present Xin Fu Department of Electrical Engineering and Computer Science School of Engineering, University of Kansas 1520 W. 15th Street #2001, Lawrence, KS 66045-7621 Phone: (785)864-7968 Email: xinfu@eecs.ku.edu

More information

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem.

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem. The VLSI Interconnect Challenge Avinoam Kolodny Electrical Engineering Department Technion Israel Institute of Technology VLSI Challenges System complexity Performance Tolerance to digital noise and faults

More information

Jun Li, Ph.D. School of Computing and Information Sciences Phone:

Jun Li, Ph.D. School of Computing and Information Sciences Phone: Jun Li, Ph.D. School of Computing and Information Sciences Phone: + 1-305-348-4964 Florida International University Email: junli @ cs. fiu. edu 11200 SW 8th St, ECS 380, Miami, FL 33199 Web: http://users.cs.fiu.edu/

More information

Aug till date, Assistant Professor (tenure-track) Department of Electrical Engineering, University of Texas at Dallas

Aug till date, Assistant Professor (tenure-track) Department of Electrical Engineering, University of Texas at Dallas PROFESSIONAL APPOINTMENT: Rama Sangireddy Department of Electrical Engineering University of Texas at Dallas, Richardson, TX 75080 Phone: (972) 883 6143; E-mail: rama.sangireddy@utdallas.edu Aug. 2003

More information

Stavros Nikolaou. 413 Gates Hall URL: Ithaca, NY, 14853, USA Date of Birth: December, 1987

Stavros Nikolaou. 413 Gates Hall URL:  Ithaca, NY, 14853, USA Date of Birth: December, 1987 413 Gates Hall +1 6073795409 Department of Computer Science Cornell University email: snikolaou@cs.cornell.edu URL: www.cs.cornell.edu/~snikolaou Ithaca, NY, 14853, USA Date of Birth: December, 1987 Education

More information

Securing Multiprocessor Systemon-Chip

Securing Multiprocessor Systemon-Chip Securing Multiprocessor Systemon-Chip By Arnab Kumar Biswas Department of Electronic Systems Engineering Under guidance of Prof. S. K. Nandy Motivation Now-a-days MPSOCs are pervading our dayto-day lives.

More information

The Effect of Temperature on Amdahl Law in 3D Multicore Era

The Effect of Temperature on Amdahl Law in 3D Multicore Era The Effect of Temperature on Amdahl Law in 3D Multicore Era L Yavits, A Morad, R Ginosar Abstract This work studies the influence of temperature on performance and scalability of 3D Chip Multiprocessors

More information

Hung-Wei Tseng. Assistant Professor

Hung-Wei Tseng. Assistant Professor Hung-Wei Tseng Assistant Professor 919-515-7354 Dept. of Computer Science hungwei tseng@ncsu.edu North Carolina State University http://people.engr.ncsu.edu/htseng3 Raleigh, NC 27695-8206 Education University

More information

Brian F. Cooper. Distributed systems, digital libraries, and database systems

Brian F. Cooper. Distributed systems, digital libraries, and database systems Brian F. Cooper Home Office Internet 2240 Homestead Ct. #206 Stanford University cooperb@stanford.edu Los Altos, CA 94024 Gates 424 http://www.stanford.edu/~cooperb/app/ (408) 730-5543 Stanford, CA 94305

More information

DATAPATH ARCHITECTURE FOR RELIABLE COMPUTING IN NANO-SCALE TECHNOLOGY

DATAPATH ARCHITECTURE FOR RELIABLE COMPUTING IN NANO-SCALE TECHNOLOGY DATAPATH ARCHITECTURE FOR RELIABLE COMPUTING IN NANO-SCALE TECHNOLOGY A thesis work submitted to the faculty of San Francisco State University In partial fulfillment of The requirements for The Degree

More information

CURRICULUM VITAE - YANG HU

CURRICULUM VITAE - YANG HU CURRICULUM VITAE - YANG HU CONTACT INFORMATION Ph.D. candidate Phone: (352) 281-3364 huyang.ece@ufl.edu URL: http://plaza.ufl.edu/huyang.ece #327 Benton Hall University of Florida Gainesville, FL 32611

More information

Amy Babay April 2018

Amy Babay April 2018 Amy Babay www.dsn.jhu.edu/~babay 814-528-4205 babay@cs.jhu.edu Education PhD in Computer Science April 2018 PhD Research: Structured overlay networks for a new generation of Internet services, dependable

More information

Design of Reliable and Secure Network-On-Chip Architectures

Design of Reliable and Secure Network-On-Chip Architectures Utah State University DigitalCommons@USU All Graduate Theses and Dissertations Graduate Studies 2015 Design of Reliable and Secure Network-On-Chip Architectures Dean Michael B Ancajas Utah State University

More information

CSE 141: Computer Architecture. Professor: Michael Taylor. UCSD Department of Computer Science & Engineering

CSE 141: Computer Architecture. Professor: Michael Taylor. UCSD Department of Computer Science & Engineering CSE 141: Computer 0 Architecture Professor: Michael Taylor RF UCSD Department of Computer Science & Engineering Computer Architecture from 10,000 feet foo(int x) {.. } Class of application Physics Computer

More information

Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology

Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology Vol. 3, Issue. 3, May.-June. 2013 pp-1475-1481 ISSN: 2249-6645 Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology Bikash Khandal,

More information

Computer Architecture

Computer Architecture Computer Architecture Lecture 1: Introduction and Basics Dr. Ahmed Sallam Suez Canal University Spring 2016 Based on original slides by Prof. Onur Mutlu I Hope You Are Here for This Programming How does

More information

John Clements Department of Computer Science Cal Poly State University 1 Grand Street San Luis Obispo, CA (805)

John Clements Department of Computer Science Cal Poly State University 1 Grand Street San Luis Obispo, CA (805) Curriculum Vitae Contact Information Education John Clements Department of Computer Science Cal Poly State University 1 Grand Street San Luis Obispo, CA 93407 (805)756-6528 clements@brinckerhoff.org 2005

More information

Xin Felicity Fu, Ph.D.

Xin Felicity Fu, Ph.D. Xin Felicity Fu, Ph.D. Assistant Professor Department of Electrical and Computer Engineering Cullen College of Engineering, University of Houston N308 Engineering Building 1, Houston TX 77204-4005 Office:

More information

Wisconsin Computer Architecture. Nam Sung Kim

Wisconsin Computer Architecture. Nam Sung Kim Wisconsin Computer Architecture Mark Hill Nam Sung Kim Mikko Lipasti Karu Sankaralingam Guri Sohi David Wood Technology & Moore s Law 35nm Transistor 1947 Moore s Law 1964: Integrated Circuit 1958 Transistor

More information

Hardware Software Codesign of Embedded Systems

Hardware Software Codesign of Embedded Systems Hardware Software Codesign of Embedded Systems Rabi Mahapatra Texas A&M University Today s topics Course Organization Introduction to HS-CODES Codesign Motivation Some Issues on Codesign of Embedded System

More information

CURRICULUM VITAE. Discipline University /Board Year % Secured. Indian Institute of Technology (IIT), Kharagpur. (NIT), Rourkela

CURRICULUM VITAE. Discipline University /Board Year % Secured. Indian Institute of Technology (IIT), Kharagpur. (NIT), Rourkela CURRICULUM VITAE Name: Dr. ASHOK KUMAR TURUK Personal Data : Position Held: Assistant Professor Department : Computer Science & Engineering Office Address : Dept. of Computer Science & Engineering. (NIT)

More information

Cross-Layer Memory Management to Reduce DRAM Power Consumption

Cross-Layer Memory Management to Reduce DRAM Power Consumption Cross-Layer Memory Management to Reduce DRAM Power Consumption Michael Jantz Assistant Professor University of Tennessee, Knoxville 1 Introduction Assistant Professor at UT since August 2014 Before UT

More information

CSE 291: Mobile Application Processor Design

CSE 291: Mobile Application Processor Design CSE 291: Mobile Application Processor Design Mobile Application Processors are where the action are The evolution of mobile application processors mirrors that of microprocessors mirrors that of mainframes..

More information

Runtime Adaptation of Application Execution under Thermal and Power Constraints in Massively Parallel Processor Arrays

Runtime Adaptation of Application Execution under Thermal and Power Constraints in Massively Parallel Processor Arrays Runtime Adaptation of Application Execution under Thermal and Power Constraints in Massively Parallel Processor Arrays Éricles Sousa 1, Frank Hannig 1, Jürgen Teich 1, Qingqing Chen 2, and Ulf Schlichtmann

More information

Wireless Communications, Information Theory, Physical Layer Security, Cyber Security for Smart Grid, Cryptography, Network Coding.

Wireless Communications, Information Theory, Physical Layer Security, Cyber Security for Smart Grid, Cryptography, Network Coding. Mustafa El-Halabi Contact Information Fleifel Building Cell Phone: + (979) 422 4585 Mathaf E-mail: mhalabi@aust.edu.lb Beirut, Lebanon Webpage: https://mustafa-halabi.appspot.com/ Research Interests Education

More information

Mohamed Mahmoud Mahmoud Azab. Education: Ongoing research:

Mohamed Mahmoud Mahmoud Azab. Education: Ongoing research: Mohamed Mahmoud Mahmoud Azab -Assistant Prof., Informatics Research Institute, The City of Scientific Research & Technology Applications, Alexandria-Egypt. - Researcher, VT-MENA research center of Excellence,

More information

NEtwork-on-Chip (NoC) [3], [6] is a scalable interconnect

NEtwork-on-Chip (NoC) [3], [6] is a scalable interconnect 1 A Soft Tolerant Network-on-Chip Router Pipeline for Multi-core Systems Pavan Poluri and Ahmed Louri Department of Electrical and Computer Engineering, University of Arizona Email: pavanp@email.arizona.edu,

More information

Ultra Low Power (ULP) Challenge in System Architecture Level

Ultra Low Power (ULP) Challenge in System Architecture Level Ultra Low Power (ULP) Challenge in System Architecture Level - New architectures for 45-nm, 32-nm era ASP-DAC 2007 Designers' Forum 9D: Panel Discussion: Top 10 Design Issues Toshinori Sato (Kyushu U)

More information

A 256-Radix Crossbar Switch Using Mux-Matrix-Mux Folded-Clos Topology

A 256-Radix Crossbar Switch Using Mux-Matrix-Mux Folded-Clos Topology http://dx.doi.org/10.5573/jsts.014.14.6.760 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.6, DECEMBER, 014 A 56-Radix Crossbar Switch Using Mux-Matrix-Mux Folded-Clos Topology Sung-Joon Lee

More information

EDUCATION RESEARCH EXPERIENCE

EDUCATION RESEARCH EXPERIENCE PERSONAL Name: Mais Nijim Gender: Female Address: 901 walkway, apartment A1 Socorro, NM 87801 Email: mais@cs.nmt.edu Phone: (505)517-0150 (505)650-0400 RESEARCH INTEREST Computer Architecture Storage Systems

More information

Amy Babay November Doctor of Philosophy in Computer Science September 2018

Amy Babay November Doctor of Philosophy in Computer Science September 2018 Amy Babay www.dsn.jhu.edu/~babay 814-528-4205 babay@cs.jhu.edu Education November 2018 Doctor of Philosophy in Computer Science September 2018 Thesis: Timely, Reliable, and Cost-Effective Internet Transport

More information

Chris Vegter University of Northern Colorado Monfort College of Business (970)

Chris Vegter University of Northern Colorado Monfort College of Business (970) Chris Vegter University of Northern Colorado Monfort College of Business (970) 351-1244 Email: chris.vegter@unco.edu Education MBA, Colorado State University, 2006. Area of Study: Business Administration

More information

Deepti Jaglan. Keywords - WSN, Criticalities, Issues, Architecture, Communication.

Deepti Jaglan. Keywords - WSN, Criticalities, Issues, Architecture, Communication. Volume 5, Issue 8, August 2015 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com A Study on Cooperative

More information

Reconfigurable Architecture Requirements for Co-Designed Virtual Machines

Reconfigurable Architecture Requirements for Co-Designed Virtual Machines Reconfigurable Architecture Requirements for Co-Designed Virtual Machines Kenneth B. Kent University of New Brunswick Faculty of Computer Science Fredericton, New Brunswick, Canada ken@unb.ca Micaela Serra

More information

EXPLOITING APPLICATION BEHAVIORS FOR RESILIENT STATIC RANDOM ACCESS MEMORY ARRAYS IN THE NEAR-THRESHOLD COMPUTING REGIME

EXPLOITING APPLICATION BEHAVIORS FOR RESILIENT STATIC RANDOM ACCESS MEMORY ARRAYS IN THE NEAR-THRESHOLD COMPUTING REGIME EXPLOITING APPLICATION BEHAVIORS FOR RESILIENT STATIC RANDOM ACCESS MEMORY ARRAYS IN THE NEAR-THRESHOLD COMPUTING REGIME by Dieudonne Manzi Mugisha A thesis submitted in partial fulfillment of the requirements

More information

Emerging Platforms, Emerging Technologies, and the Need for Crosscutting Tools Luca Carloni

Emerging Platforms, Emerging Technologies, and the Need for Crosscutting Tools Luca Carloni Emerging Platforms, Emerging Technologies, and the Need for Crosscutting Tools Luca Carloni Department of Computer Science Columbia University in the City of New York NSF Workshop on Emerging Technologies

More information

Energy Efficient Computing Systems (EECS) Magnus Jahre Coordinator, EECS

Energy Efficient Computing Systems (EECS) Magnus Jahre Coordinator, EECS Energy Efficient Computing Systems (EECS) Magnus Jahre Coordinator, EECS Who am I? Education Master of Technology, NTNU, 2007 PhD, NTNU, 2010. Title: «Managing Shared Resources in Chip Multiprocessor Memory

More information

Greg T. Harber Faculty Vita (September 1, August 31, 2013)

Greg T. Harber Faculty Vita (September 1, August 31, 2013) Greg T. Harber Faculty Vita (September 1, 2008 - August 31, 2013) Department: Computer Science Rank: Instructor Qualification Status: Other Tenure Status: Non-Tenure Track EDUCATION MS, 1994. Institution:

More information

INFORMATION SESSION. MS Software Engineering, specialization in Cybersecurity

INFORMATION SESSION. MS Software Engineering, specialization in Cybersecurity INFORMATION SESSION MS Software Engineering, specialization in Cybersecurity Presenter Afifa Hamad Program Specialist Graduate & Extended Studies Charles W. Davidson College of Engineering San Jose State

More information

Golam R Chowdhury Will Rogers Lane phone: cell Austin, TX 78727

Golam R Chowdhury Will Rogers Lane phone: cell Austin, TX 78727 Golam R Chowdhury 13501 Will Rogers Lane phone: 512 587 9237 cell golamc@gmail.com Austin, TX 78727 Objective: Seeking an Adjunct Faculty Position in Electrical Engineering. Profile With a combined experience

More information

2007 Doctor of Philosophy(Ph.D.) in Computer Science University of California, San Diego (UCSD)

2007 Doctor of Philosophy(Ph.D.) in Computer Science University of California, San Diego (UCSD) ALPER T. MIZRAK VMware, Inc. 3401 Hillview Ave Palo Alto, CA 94304 Phone: 1 (650) 427-2492 amizrak@vmware.com http://cseweb.ucsd.edu/ amizrak www.linkedin.com/in/alpermizrak Cell: 1 (858) 337-3791 alpermizrak@gmail.com

More information

Thesis: An Extensible, Self-Tuning, Overlay-Based Infrastructure for Large-Scale Stream Processing and Dissemination Advisor: Ugur Cetintemel

Thesis: An Extensible, Self-Tuning, Overlay-Based Infrastructure for Large-Scale Stream Processing and Dissemination Advisor: Ugur Cetintemel Olga Papaemmanouil Phone: +1 (401) 588-0230 Department of Computer Science Fax: +1 (401) 863-7657 Box 1910, 115 Waterman St, Floor 4 Email: olga@cs.brown.edu Providence, RI, 02912, USA Web: http://www.cs.brown.edu/

More information

North Dakota State University Fargo, ND Ph.D. in Software Engineering Emphasis area: Security Requirements Engineering

North Dakota State University Fargo, ND Ph.D. in Software Engineering Emphasis area: Security Requirements Engineering Josh Pauli, Ph.D. EDUCATION North Dakota State University Fargo, ND Ph.D. in Software Engineering 2003-2006 Emphasis area: Security Requirements Engineering Dakota State University Madison, SD M.S. in

More information

15-740/ Computer Architecture Lecture 21: Superscalar Processing. Prof. Onur Mutlu Carnegie Mellon University

15-740/ Computer Architecture Lecture 21: Superscalar Processing. Prof. Onur Mutlu Carnegie Mellon University 15-740/18-740 Computer Architecture Lecture 21: Superscalar Processing Prof. Onur Mutlu Carnegie Mellon University Announcements Project Milestone 2 Due November 10 Homework 4 Out today Due November 15

More information

Thermal Modeling and Active Cooling

Thermal Modeling and Active Cooling Thermal Modeling and Active Cooling for 3D MPSoCs Prof. David Atienza, Embedded Systems Laboratory (ESL), EE Institute, Faculty of Engineering MPSoC 09, 2-7 August 2009 (Savannah, Georgia, USA) Thermal-Reliability

More information

Name: S.Brindha. Assistant Professor Grade I. Designation: Qualification: B.E, M.E, Ph.D (Pursuing)

Name: S.Brindha. Assistant Professor Grade I. Designation: Qualification: B.E, M.E, Ph.D (Pursuing) Name: S.Brindha Designation: Qualification: B.E, M.E, Ph.D (Pursuing) Assistant Professor Grade I Area of specialization: B.E(ECE), M.E( &Networking) Ph.D(Pursuing) Radio over Fiber Networks Experience

More information

Lecture 1: Introduction

Lecture 1: Introduction Contemporary Computer Architecture Instruction set architecture Lecture 1: Introduction CprE 581 Computer Systems Architecture, Fall 2016 Reading: Textbook, Ch. 1.1-1.7 Microarchitecture; examples: Pipeline

More information

ARDAVAN PEDRAM. Education Stanford University June 2016 Postdoctoral fellowship, Electrical Engineering

ARDAVAN PEDRAM.  Education Stanford University June 2016 Postdoctoral fellowship, Electrical Engineering 2225 Sharon Rd. Apt #126 Menlo Park, CA 94025 ARDAVAN PEDRAM Education Stanford University June 2016 Postdoctoral fellowship, Electrical Engineering The University of Texas at Austin Aug 2013 PhD, Computer

More information

Parallelized Network-on-Chip-Reused Test Access Mechanism for Multiple Identical Cores

Parallelized Network-on-Chip-Reused Test Access Mechanism for Multiple Identical Cores IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 35, NO. 7, JULY 2016 1219 Parallelized Network-on-Chip-Reused Test Access Mechanism for Multiple Identical Cores Taewoo

More information

Effective Memory Access Optimization by Memory Delay Modeling, Memory Allocation, and Slack Time Management

Effective Memory Access Optimization by Memory Delay Modeling, Memory Allocation, and Slack Time Management International Journal of Computer Theory and Engineering, Vol., No., December 01 Effective Memory Optimization by Memory Delay Modeling, Memory Allocation, and Slack Time Management Sultan Daud Khan, Member,

More information

MAGNO QUEIROZ Curriculum Vitae

MAGNO QUEIROZ Curriculum Vitae MAGNO QUEIROZ Curriculum Vitae Office Management Information Systems Jon M. Huntsman School of Business Utah State University 3515 Old Main Hill Logan, UT 84322-3515 Location: Eccles Business Building

More information

IJENS-RPG [IJENS Researchers Promotion Group] ID: IJENS-1017-Joby

IJENS-RPG [IJENS Researchers Promotion Group] ID: IJENS-1017-Joby Dr. P.P.Joby Contact Address Professor & Dean, Department of Computer Science & Engineering, MBC College of Engineering and Technology, Kuttikanam, Peermade, Idukki Dt, Kerala-685531. Permanent Address

More information

Please consult the Department of Engineering about the Computer Engineering Emphasis.

Please consult the Department of Engineering about the Computer Engineering Emphasis. COMPUTER SCIENCE Computer science is a dynamically growing discipline. ABOUT THE PROGRAM The Department of Computer Science is committed to providing students with a program that includes the basic fundamentals

More information

Neural Network based Energy-Efficient Fault Tolerant Architect

Neural Network based Energy-Efficient Fault Tolerant Architect Neural Network based Energy-Efficient Fault Tolerant Architectures and Accelerators University of Rochester February 7, 2013 References Flexible Error Protection for Energy Efficient Reliable Architectures

More information

Hardware Software Codesign of Embedded System

Hardware Software Codesign of Embedded System Hardware Software Codesign of Embedded System CPSC489-501 Rabi Mahapatra Mahapatra - Texas A&M - Fall 00 1 Today s topics Course Organization Introduction to HS-CODES Codesign Motivation Some Issues on

More information

Big Data Systems on Future Hardware. Bingsheng He NUS Computing

Big Data Systems on Future Hardware. Bingsheng He NUS Computing Big Data Systems on Future Hardware Bingsheng He NUS Computing http://www.comp.nus.edu.sg/~hebs/ 1 Outline Challenges for Big Data Systems Why Hardware Matters? Open Challenges Summary 2 3 ANYs in Big

More information

Master of Engineering in Computer Engineering Orientation. August 22, Pierce Cantrell Department of Electrical and Computer Engineering

Master of Engineering in Computer Engineering Orientation. August 22, Pierce Cantrell Department of Electrical and Computer Engineering Master of Engineering in Computer Engineering Orientation August 22, 2017 Pierce Cantrell Department of Electrical and Computer Engineering Outline Requirements for Master of Engineering in Computer Engineering

More information

Biodata. Name: Ms. Jyoti M. Hurakadli. Designation: Associate Professor. Qualification: M.Tech (Computer Network Engineering),

Biodata. Name: Ms. Jyoti M. Hurakadli. Designation: Associate Professor. Qualification: M.Tech (Computer Network Engineering), Biodata Name: Ms. Jyoti M. Hurakadli Designation: Associate Professor Qualification: M.Tech (Computer Network Engineering), B.E. (Computer Science and Engineering) Specialization: Computer Networking Date

More information

Aging-Aware Routing Algorithms for Network-on- Chips

Aging-Aware Routing Algorithms for Network-on- Chips Utah State University DigitalCommons@USU All Graduate Theses and Dissertations Graduate Studies 8-2012 Aging-Aware Routing Algorithms for Network-on- Chips Kshitij Bhardwaj Utah State University Follow

More information

Venkatesh Ramaiyan 1.05, Network Engineering Lab Mobile: Dept. of Electrical Communication Engg. (ECE) Fax: (+91)

Venkatesh Ramaiyan 1.05, Network Engineering Lab Mobile: Dept. of Electrical Communication Engg. (ECE) Fax: (+91) Venkatesh Ramaiyan 1.05, Network Engineering Lab Mobile: +91-94482 26130 Dept. of Electrical Communication Engg. (ECE) Fax: (+91)-80-2360 0991 Indian Institute of Science E-mail: rvenkat@ece.iisc.ernet.in

More information

The ECE Curriculum. Prof. Bruce H. Krogh Associate Dept. Head.

The ECE Curriculum. Prof. Bruce H. Krogh Associate Dept. Head. The ECE Curriculum Prof. Bruce H. Krogh Associate Dept. Head krogh@ece.cmu.edu 1 Freshman year ECE Core Courses 18-100 Introduction to Electrical and Computer Engineering physical devices analog circuits

More information

DESIGN AND IMPLEMENTATION OF 8X8 DRAM MEMORY ARRAY USING 45nm TECHNOLOGY

DESIGN AND IMPLEMENTATION OF 8X8 DRAM MEMORY ARRAY USING 45nm TECHNOLOGY DESIGN AND IMPLEMENTATION OF 8X8 DRAM MEMORY ARRAY USING 45nm TECHNOLOGY S.Raju 1, K.Jeevan Reddy 2 (Associate Professor) Digital Systems & Computer Electronics (DSCE), Sreenidhi Institute of Science &

More information

Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing

Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing Umadevi.S #1, Vigneswaran.T #2 # Assistant Professor [Sr], School of Electronics Engineering, VIT University, Vandalur-

More information

Shin Hong. Assistant Professor Handong Global University (HGU) Pohang, Kyongbuk, South Korea (37554)

Shin Hong. Assistant Professor Handong Global University (HGU) Pohang, Kyongbuk, South Korea (37554) Shin Hong Assistant Professor hongshin@handong.edu +82-54-260-1409 School of Computer Science & Electrical Engineering 113 NMH, 558 Handong-ro, Buk-gu, Handong Global University (HGU) Pohang, Kyongbuk,

More information

NetFPGA Update at GEC4

NetFPGA Update at GEC4 NetFPGA Update at GEC4 http://netfpga.org/ NSF GENI Engineering Conference 4 (GEC4) March 31, 2009 John W. Lockwood http://stanford.edu/~jwlockwd/ jwlockwd@stanford.edu NSF GEC4 1 March 2009 What is the

More information

Abhishek Chakraborty

Abhishek Chakraborty Abhishek Chakraborty Institute Post Doctoral Fellow Department of Computer Science and Engineering Indian Institute of Technology Madras Chennai 600036, India Phone: +91-859-286-2863/+91-943-498-6672 Email:

More information

A Spherical Placement and Migration Scheme for a STT-RAM Based Hybrid Cache in 3D chip Multi-processors

A Spherical Placement and Migration Scheme for a STT-RAM Based Hybrid Cache in 3D chip Multi-processors , July 4-6, 2018, London, U.K. A Spherical Placement and Migration Scheme for a STT-RAM Based Hybrid in 3D chip Multi-processors Lei Wang, Fen Ge, Hao Lu, Ning Wu, Ying Zhang, and Fang Zhou Abstract As

More information

FPGA Implementation of ALU Based Address Generation for Memory

FPGA Implementation of ALU Based Address Generation for Memory International Journal of Emerging Engineering Research and Technology Volume 2, Issue 8, November 2014, PP 76-83 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) FPGA Implementation of ALU Based Address

More information

Curriculum Vitae of Paolo Romano

Curriculum Vitae of Paolo Romano Curriculum Vitae of Paolo Romano Personal Information Place and Date of Birth: Rome (Italy), 4 March 1979 Citizenship: Italian Office Address: Dipartimento di Informatica e Sistemistica Antonio Ruberti

More information

Prof. Steven Nowick. Chair, Computer Engineering Program

Prof. Steven Nowick. Chair, Computer Engineering Program Prof. Steven Nowick (nowick@cs.columbia.edu) Chair, Computer Engineering Program Overview of 4000-/6000-Level Comp Eng Courses Selective survey of some key computer engineering courses Focus: COMS (i.e.

More information

A Brief Compendium of On Chip Memory Highlighting the Tradeoffs Implementing SRAM,

A Brief Compendium of On Chip Memory Highlighting the Tradeoffs Implementing SRAM, A Brief Compendium of On Chip Memory Highlighting the Tradeoffs Implementing, RAM, or edram Justin Bates Department of Electrical and Computer Engineering University of Central Florida Orlando, FL 3816-36

More information

ECE 588/688 Advanced Computer Architecture II

ECE 588/688 Advanced Computer Architecture II ECE 588/688 Advanced Computer Architecture II Instructor: Alaa Alameldeen alaa@ece.pdx.edu Fall 2009 Portland State University Copyright by Alaa Alameldeen and Haitham Akkary 2009 1 When and Where? When:

More information

Hardware/Software Partitioning for SoCs. EECE Advanced Topics in VLSI Design Spring 2009 Brad Quinton

Hardware/Software Partitioning for SoCs. EECE Advanced Topics in VLSI Design Spring 2009 Brad Quinton Hardware/Software Partitioning for SoCs EECE 579 - Advanced Topics in VLSI Design Spring 2009 Brad Quinton Goals of this Lecture Automatic hardware/software partitioning is big topic... In this lecture,

More information

Design of Low-Power and Low-Latency 256-Radix Crossbar Switch Using Hyper-X Network Topology

Design of Low-Power and Low-Latency 256-Radix Crossbar Switch Using Hyper-X Network Topology JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.15, NO.1, FEBRUARY, 2015 http://dx.doi.org/10.5573/jsts.2015.15.1.077 Design of Low-Power and Low-Latency 256-Radix Crossbar Switch Using Hyper-X Network

More information

A Thermal-aware Application specific Routing Algorithm for Network-on-chip Design

A Thermal-aware Application specific Routing Algorithm for Network-on-chip Design A Thermal-aware Application specific Routing Algorithm for Network-on-chip Design Zhi-Liang Qian and Chi-Ying Tsui VLSI Research Laboratory Department of Electronic and Computer Engineering The Hong Kong

More information

Research Statement. 1. On-chip Wireless Communication Network for Multi-Core Chips

Research Statement. 1. On-chip Wireless Communication Network for Multi-Core Chips Research Statement Current Research Interests: My current research principally revolves around the broad topic of Network-on-Chip (NoC), which has emerged as the communication backbone for multi-core chips.

More information

Eric R. Keller 8 Gloucester Ln * Ewing, NJ * (h) * (m)

Eric R. Keller 8 Gloucester Ln * Ewing, NJ * (h) * (m) RESEARCH INTEREST Eric R. Keller 8 Gloucester Ln * Ewing, NJ 08618 kellere@seas.upenn.edu * 609-359-9453(h) * 609-933-2354(m) http://www.changetheassumptions.com I design and build secure and reliable

More information

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February-2014 938 LOW POWER SRAM ARCHITECTURE AT DEEP SUBMICRON CMOS TECHNOLOGY T.SANKARARAO STUDENT OF GITAS, S.SEKHAR DILEEP

More information

Revolutionizing Technological Devices such as STT- RAM and their Multiple Implementation in the Cache Level Hierarchy

Revolutionizing Technological Devices such as STT- RAM and their Multiple Implementation in the Cache Level Hierarchy Revolutionizing Technological s such as and their Multiple Implementation in the Cache Level Hierarchy Michael Mosquera Department of Electrical and Computer Engineering University of Central Florida Orlando,

More information

Non Uniform On Chip Power Delivery Network Synthesis Methodology

Non Uniform On Chip Power Delivery Network Synthesis Methodology Non Uniform On Chip Power Delivery Network Synthesis Methodology Patrick Benediktsson Institution of Telecomunications, University of Lisbon, Portugal Jon A. Flandrin Institution of Telecomunications,

More information

STG-NoC: A Tool for Generating Energy Optimized Custom Built NoC Topology

STG-NoC: A Tool for Generating Energy Optimized Custom Built NoC Topology STG-NoC: A Tool for Generating Energy Optimized Custom Built NoC Topology Surbhi Jain Naveen Choudhary Dharm Singh ABSTRACT Network on Chip (NoC) has emerged as a viable solution to the complex communication

More information

SERVICE ORIENTED REAL-TIME BUFFER MANAGEMENT FOR QOS ON ADAPTIVE ROUTERS

SERVICE ORIENTED REAL-TIME BUFFER MANAGEMENT FOR QOS ON ADAPTIVE ROUTERS SERVICE ORIENTED REAL-TIME BUFFER MANAGEMENT FOR QOS ON ADAPTIVE ROUTERS 1 SARAVANAN.K, 2 R.M.SURESH 1 Asst.Professor,Department of Information Technology, Velammal Engineering College, Chennai, Tamilnadu,

More information

Call for Papers for Communication QoS, Reliability and Modeling Symposium

Call for Papers for Communication QoS, Reliability and Modeling Symposium Call for Papers for Communication QoS, Reliability and Modeling Symposium Scope and Motivation: In modern communication networks, different technologies need to cooperate with each other for end-to-end

More information

Adaptive Power Blurring Techniques to Calculate IC Temperature Profile under Large Temperature Variations

Adaptive Power Blurring Techniques to Calculate IC Temperature Profile under Large Temperature Variations Adaptive Techniques to Calculate IC Temperature Profile under Large Temperature Variations Amirkoushyar Ziabari, Zhixi Bian, Ali Shakouri Baskin School of Engineering, University of California Santa Cruz

More information

LOW POWER FPGA IMPLEMENTATION OF REAL-TIME QRS DETECTION ALGORITHM

LOW POWER FPGA IMPLEMENTATION OF REAL-TIME QRS DETECTION ALGORITHM LOW POWER FPGA IMPLEMENTATION OF REAL-TIME QRS DETECTION ALGORITHM VIJAYA.V, VAISHALI BARADWAJ, JYOTHIRANI GUGGILLA Electronics and Communications Engineering Department, Vaagdevi Engineering College,

More information

15-740/ Computer Architecture Lecture 22: Superscalar Processing (II) Prof. Onur Mutlu Carnegie Mellon University

15-740/ Computer Architecture Lecture 22: Superscalar Processing (II) Prof. Onur Mutlu Carnegie Mellon University 15-740/18-740 Computer Architecture Lecture 22: Superscalar Processing (II) Prof. Onur Mutlu Carnegie Mellon University Announcements Project Milestone 2 Due Today Homework 4 Out today Due November 15

More information

Who Ate My Battery? Why Free and Open Source Systems Are Solving the Problem of Excessive Energy Consumption

Who Ate My Battery? Why Free and Open Source Systems Are Solving the Problem of Excessive Energy Consumption Who Ate My Battery? Why Free and Open Source Systems Are Solving the Problem of Excessive Energy Consumption Jeremy Bennett, Embecosm Kerstin Eder, Computer Science, University of Bristol Why? Ericsson

More information

Microprocessor Trends and Implications for the Future

Microprocessor Trends and Implications for the Future Microprocessor Trends and Implications for the Future John Mellor-Crummey Department of Computer Science Rice University johnmc@rice.edu COMP 522 Lecture 4 1 September 2016 Context Last two classes: from

More information

AREA-EFFICIENT DESIGN OF SCHEDULER FOR ROUTING NODE OF NETWORK-ON-CHIP

AREA-EFFICIENT DESIGN OF SCHEDULER FOR ROUTING NODE OF NETWORK-ON-CHIP AREA-EFFICIENT DESIGN OF SCHEDULER FOR ROUTING NODE OF NETWORK-ON-CHIP Rehan Maroofi, 1 V. N. Nitnaware, 2 and Dr. S. S. Limaye 3 1 Department of Electronics, Ramdeobaba Kamla Nehru College of Engg, Nagpur,

More information

THERMAL BENCHMARK AND POWER BENCHMARK SOFTWARE

THERMAL BENCHMARK AND POWER BENCHMARK SOFTWARE Nice, Côte d Azur, France, 27-29 September 26 THERMAL BENCHMARK AND POWER BENCHMARK SOFTWARE Marius Marcu, Mircea Vladutiu, Horatiu Moldovan and Mircea Popa Department of Computer Science, Politehnica

More information

WITH the development of the semiconductor technology,

WITH the development of the semiconductor technology, Dual-Link Hierarchical Cluster-Based Interconnect Architecture for 3D Network on Chip Guang Sun, Yong Li, Yuanyuan Zhang, Shijun Lin, Li Su, Depeng Jin and Lieguang zeng Abstract Network on Chip (NoC)

More information