TUTORIAL 1. V1.1 Update on Sept 17, 2003 ECE 755. Part 1: Design Architect IC

Size: px
Start display at page:

Download "TUTORIAL 1. V1.1 Update on Sept 17, 2003 ECE 755. Part 1: Design Architect IC"

Transcription

1 TUTORIAL 1 V1.1 Update on Sept 17, 2003 ECE 755 Part 1: Design Architect IC DA-IC provides a design environment comprising tools to create schematics, symbols and run simulations. The schematic editor in DA-IC is same as Design Architect with minor changes in the user interface. For those who are familiar with Design-Architect, this tutorial will be a simple walk through. Two types of simulators are associated with DA-IC. Quicksim, which is a functional simulator Eldo, which is a SPICE simulator This tutorial will take you through the various steps involved in the creation of a schematic. The steps are explained in context of a simple inverter and then later with two inverters cascaded to show hierarchy. The next part of the tutorial will deal with the Quicksim and Eldo simulators. 1) To invoke the DA-IC, type adk_daic at the prompt. Just da_ic would also work but adk_daic includes the adk libraries for standard cells. 2) To open a schematic sheet in DA-IC click on schematic in the session palette menu under "open". In the dialog box that appears enter the schematic name, $PROJ_PARTS_<username>/<schematic name>. $PROJ_PARTS_<username> points to ~/mgc directory. This will open an editor sheet. 3) In order to place transistor select library in the schematic edit palette menu. Click on pmos under "transistors" in the ic_library palette menu and place the p-fet on the sheet. Similarly select nmos for a n-fet. The schematic will now appear as in Figure 1.

2 Figure 1 4) Power and ground can be obtained by selecting VDD, GND respectively from ic_library palette menu under "general". 5) Connections can be given using wires by selecting wire from schematic_edit palette menu under Add". 6) Input and output ports can be obtained by selecting In and Out respectively from the ic_library under "general". The default name given to ports is NET. To change names, place the cursor (mouse arrow) on the name NET and press SHIFT+F7. A small window will open at the bottom of the screen where the name of the port can be changed. An alternative way is to select the port (place the cursor on it and press F1) and choose change values from the pop-up menu (right click). Enter the name in the new value text box. 7) The final schematic will look as in Figure 2.

3 Figure 2 8) Before simulating the design you need to execute the design check to check the sheet for errors. In order check the design and save select check and save in the schematic_edit palette menu. To check without saving select File->check schematic from the pull-down menu. 9) Naming instances is particularly useful in identifying transistors while analysing the simulation log file in accusim or Eldo. You can name a transistor by selecting the transistor (click on it) and then selecting Instance->auto/manual under "name" in the schematic_edit palette menu. Note: In-order to use the standard cells present in the adk library, select standard cells from the ic_library palette menu. You can find basic logic gates, latches etc under "SDL parts" in the cell library palette menu. 10) As a next step will make a design with two inverters in series. To do this we need to make a symbol of this design and then complete the next level in a new sheet. 11) To create a symbol select Miscellaneous->generate symbol from the pull-down menu. The generate symbol dialog box will appear. Clicking ok in this box will create a box shaped symbol with the default dimensions. In order to choose other shapes click on choose shape in the generate symbol dialog box.

4 12) Once the symbol is generated check and save the symbol is the same manner as checking the design. 13) You can also edit the symbols for custom shapes and sizes. To edit a symbol you need to open it by selecting symbol under "open" in the schematic_edit palette menu. 14) Once the symbol is opened you can use the various shapes listed under "add" in the symbol_draw palette menu. 15) Now open a new sheet to draw the high level schematic with two inverters in series. 16) In order to place a symbol on the sheet select Schematic_edit -> Add -> Instance from schematic_edit menu on the right. Choose the required symbol from the pop-up window that appears on the screen. 19) Place two inverters and connect them as shown in Figure 3. Finally check the design for errors. Figure 3 20) Viewpoints can be created in DA-IC by switching to the simulation mode. It is not required to simulate the design, just entering the simulation mode would do. To do this select Simulation -> TSMC 0.35 from the schematic_edit palette menu. 21) In the simulation setup configuration dialog box that will popup, select TSMC035 in the list name viewpoint type. Make tsmc035a is entered in the viewpoint name text box. Click ok. This will create all the required viewpoints and will take you into the simulation mode. For now exit out of the simulation mode by selecting End Sim from the schematic_sim_palette menu. Adding buses 22) To draw a bus select Bus/Bundle under "Add" in the schematic_edit menu and draw the bus on the sheet. 23) Give the bus a name by selecting net under "Name" in the schematic_edit menu. The name of the net should be of the form bus(15:0). The numbers represent the bits in the bus. 24) Now add wires to connect the components to the bus as shown in Figure 6. Once you make a wire connection to the bus, the bus ripper will appear and you will prompted to

5 enter the bit number for the net. Figure 6

6 Part 2: Quicksim - II Quicksim II is a functional simulator which allows you to simulate the switching characteristics of a circuit. It does not model the electrical characteristics of the circuit. You need to use accusim or eldo to observe a more accurate behavior. In this tutorial we shall simulate the design created in DA-IC part I tutorial in the unit delay and nominal delay mode. Unit delay mode is used to debug fundamental design functionality. When you run the simulator in this mode all output pins and IO pins are assigned a delay of one timestep (by default 1 timestep = 0.1ns) and the input pins are assigned a delay of 0ns. In this delay mode no technology files are used. Nominal delay simulation is carried out in the full timing mode of the simulator. This mode simulates the effects of timing on the design logic. It uses the delay equations specified in the technology file. For nominal delay simulations we use the typ values from the file. Unit delay simulation 1) Invoke the simualtor by typing quicksim <design name>/tsmc035 from the prompt. tsmc035 is the is the viewpoint created by DA-IC for quicksim. 2) Open the design by selecting open sheet from the setup palette menu. 3) Now select the inputs and outputs and click on the trace button in the setup palette menu. 4) convenient way to force inputs in Quicksim is to write a force file. A sample force file (filename.force) for to test this logic circuit is shown below. delete traces IN OUT add traces IN OUT force IN 0 0 force IN 1 20 force IN 0 40 run 50 5) Now simulate the circuit by choosing Setup->Force->From File from the pull-down menu and then select your force file. The trace window will look like the one in Figure 1. You can add a cursor by typing add cu.

7 Figure 1 Note: You can force a clock input using the following statements. set clock period 60 force A 0 0 -repeat force A repeat The first line sets the clock period and the third line specifies the duty cycle. 6) In order to reset the simulation, choose Run->reset->State. Unselect "Save results waveform DB". 7) Sometimes when you change the force file and then resimulate you will find that that the circuit is still simulated with the old values. In that case you need to delete the force by choosing Setup->delete forces->all signals. Nominal delay simulation 8) Choose Setup->kernel->analysis and then select delay in the dialog box that appears. 9) Next select Edit->Select->All->Instance. This will highlight the instances in your schematic. For this to work your active window should be the schematic window. 10) Select Setup->kernel->change->timing mode. In the dialog box that appears select change->typ (in the full delays line). 11) Simulate the circuit from the force file as before.

8 Part 3: ELDO ELDO is spice based simulator that performs electrical simulations. Various analysis viz, DC analysis, transient analysis, DCOP, noise analysis can be performed. In this tutorial we will deal with DC and transient analysis of an inverter. DC analysis: DC analysis determines the operating point of a circuit with all capacitors open and all inductors shorted. In this mode we can sweep an input over a voltage range and measure the response. Transient analysis: In this mode the output of the circuit is calculated as a function of time. 1) Enter into the simulation mode in adk_daic as described in the part - 1 2) A node 0 needs to be defined as ground for your circuit. A default name "ground" is assigned, but this is not used in the adk symbols. In ADK or SDL symbols ground is represented using GND. To define this choose setup -> simulation -> setup netlister from the pull down menu. In this dialog box specify ground as GND. 3) You need to setup the type of analysis you will be performing. Let us first perform a DC analysis. Select Analysis under "Setup Sim" section of the simulation palettes menu. 4) In this dialog box you need to select DC. Now choose setup against the DC checkbox. This will pop up the Setup DC analysis dialog box. In this we specify the voltage source to be swept, voltage ranges and the step. 5) Enter v2 in the voltage source text box and the voltage range as 0 to 5 V as shown in Figure 1. Let the step be 0.2. We will see what v2 means later.

9 Figure 1 6) Now you need to setup the probes that specify the nodes for which the output data needs to be recorded. Select probes under "Setup results" in the simulation palette menu. In the set probes dialog box choose DC under analysis type. 7) A model file in the SPICE format needs to be specified so the simulator knows where the MOSFET and other models reside. This can be done by selecting Library under "setup other" section in the simulation palettes menu. Path to be entered: /usr/apps/eda/adk.2.0/technology/ic 7a) A file containing the process parameters also needs to be included. This can be done by selecting Include under setup other section in the simulation palettes menu. File to be entered: $MGC_HEP/technology/ic/tsmc035/tsmc035.mod 8) Write the netlist file by selecting write under "netlist" section in the simulation palettes menu. This command will create two files, a.spi and a.cir file. The.spi file is the netlist file you will have to edit. 9) To edit the.spi file select edit under "netlist" section in the simulation palettes menu. The.spi file will open in the notepad as shown in Figure 2.

10 Figure 2 10) In this file comments are denoted by '*'. You will have to add the list of input sources before.end. Add the following v1 VDD 0 5 v2 IN 0 5 Here v1 and v2 are the names we assign to the voltage sources (choose any name you want). IN is the input port to the inverter in this case. 0 5 means the voltage source is 5V with respect to ground. It is this v2 we entered in step 5. 11) Each time you write the netlist file you will have to edit the file to add the voltage sources. A better option is to include a file with voltage sources specified in it. Use the.include statement as shown in Figure 3. Here sources is the file that contains the statements mentioned in step 10.

11 Figure 3 12) Select run under the "simulation" section in the simulation palletes menu. This will run the simulation. An x-term will pop up while running the simulation. Any errors in the.spi file will be mentioned in the here. The errors can be seen by selecting view log under "simulation" section in the simulation palettes menu. 13) To view the results select view->invoke viewer under results section in the simulation palettes menu. This will start Design Architect-IC view. Now select the signals that you want to view and choose chart->selected from the pop-up menu (right click). This will chart the results as shown in Figure 4

12 Figure 4 Transient Analysis 14) For performing transient analysis perform step2. In step 3 choose Transient and click on setup against the transient dialog box. In the setup transient analysis dialog box enter the stop time for the simulation. We enter 10ms as shown as in Figure 5. 'm' indicates milliseconds. Enter 'u' for microseconds and 'n' for nanoseconds. When no units are mentioned the default is seconds. Figure 5 15) Choose transient in the set probes dialog box.

13 16) Perform step 7 and write netlist file. The main change is to be made while editing the netlist file for specifying the voltage sources. There are various kinds of sources you can specify viz, DC, pulse, Sin, Exp, PWL (piece-wise linear) etc. 17) To specify a DC source enter the following line v2 IN 0 5 To specify a pulse enter the following line: v2 IN 0 pulse( n 1n 500u 1m) This is of the form pulse(initial value Pulsed value Delay time Rise time Fall time Duty cycle Time period) To specify a sinusoid input enter the following: v2 IN 0 sin( ) This is of the form sin(offset Magnitude Frequency Time Delay Damping Factor) To specify a PWL input enter the following: v2 IN 0 pwl(0 0 1m 2 2m 3 5m 1) This is of the form pwl(time value...) 18) Now run the simulation and view results as in the DC analysis case. Sample waveform for a pulse input is shown in Figure 6. Figure 6

Analog IC Simulation. Mentor Graphics 2006

Analog IC Simulation. Mentor Graphics 2006 Analog IC Simulation Mentor Graphics 2006 Santa Clara University Department of Electrical Engineering Date of Last Revision: March 29, 2007 Table of Contents 1. Objective... 3 2. Basic Test Circuit Creation...

More information

Mentor Graphics VLSI CAD Tutorials

Mentor Graphics VLSI CAD Tutorials VLSI Design Flow Using Mentor-Graphics Tools Mentor Graphics VLSI CAD Tutorials School of Engineering Santa Clara University Santa Clara, CA 95053 At the Design Center, School of Engineering, of Santa

More information

MENTOR GRAPHICS IC DESIGN MANUAL. Schematic & Simulation. Gun Jun K Praveen Jayakar Thomas Zheng Huan Qun

MENTOR GRAPHICS IC DESIGN MANUAL. Schematic & Simulation. Gun Jun K Praveen Jayakar Thomas Zheng Huan Qun MENTOR GRAPHICS IC DESIGN MANUAL Schematic & Simulation By Gun Jun K Praveen Jayakar Thomas Zheng Huan Qun August 2004 Signal Processing & VLSI Design Laboratory Department of Electrical & Computer Engineering

More information

CMOS Design Lab Manual

CMOS Design Lab Manual CMOS Design Lab Manual Developed By University Program Team CoreEl Technologies (I) Pvt. Ltd. 1 Objective Objective of this lab is to learn the Mentor Graphics HEP2 tools as well learn the flow of the

More information

Introduction to Design Architect

Introduction to Design Architect SANTA CLARA UNIVERSITY Dept. of Electrical Engineering Mentor Graphics Tutorials Introduction to Design Architect Yiching Chen Sangeetha Raman S. Krishnan I. Introduction II. This document contains a step-by-step

More information

FACULTY OF ENGINEERING MULTIMEDIA UNIVERSITY LAB SHEET DIGITAL INTEGRATED CIRCUIT

FACULTY OF ENGINEERING MULTIMEDIA UNIVERSITY LAB SHEET DIGITAL INTEGRATED CIRCUIT FACULTY OF ENGINEERING MULTIMEDIA UNIVERSITY LAB SHEET DIGITAL INTEGRATED CIRCUIT DIC1: Schematic Design Entry, Simulation & Verification DIC2: Schematic Driven Layout Drawing (SDL) Design Rule Check (DRC)

More information

CS755 CAD TOOL TUTORIAL

CS755 CAD TOOL TUTORIAL CS755 CAD TOOL TUTORIAL CREATING SCHEMATIC IN CADENCE Shi-Ting Zhou shi-ting@cs.wisc.edu After you have figured out what you want to design, and drafted some pictures and diagrams, it s time to input schematics

More information

EECS 211 CAD Tutorial. 1. Introduction

EECS 211 CAD Tutorial. 1. Introduction EECS 211 CAD Tutorial 1. Introduction This tutorial has been devised to run through all the steps involved in the design and simulation of an audio tone control amplifier using the Mentor Graphics CAD

More information

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group.

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group. Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group. Revision Notes: Aug. 2003 update and edit A. Mason add intro/revision/contents

More information

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2)

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2) CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2) Joel Wilder, Aleksandar Milenkovic, ECE Dept., The University of Alabama in Huntsville

More information

Analog IC Schematic Capture. Mentor Graphics 2006

Analog IC Schematic Capture. Mentor Graphics 2006 Analog IC Schematic Capture Mentor Graphics 2006 Santa Clara University Department of Electrical Engineering Date of Last Revision: February 6, 2007 Table of Contents 1. Objective...3 2. Setup & Preparation...4

More information

EE 330 Spring 2018 Laboratory 2: Basic Boolean Circuits

EE 330 Spring 2018 Laboratory 2: Basic Boolean Circuits EE 330 Spring 2018 Laboratory 2: Basic Boolean Circuits Contents Objective:... 2 Part 1: Introduction... 2 Part 2 Simulation of a CMOS Inverter... 3 Part 2.1 Attaching technology information... 3 Part

More information

LTSPICE MANUAL. For Teaching Module EE4415 ZHENG HAUN QUN. December 2016

LTSPICE MANUAL. For Teaching Module EE4415 ZHENG HAUN QUN. December 2016 LTSPICE MANUAL For Teaching Module EE4415 ZHENG HAUN QUN December 2016 DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINNERING NATIONAL UNIVERSITY OF SINGAPORE Contents 1. Introduction... 2 1.1 Installation...

More information

Getting started. Starting Capture. To start Capture. This chapter describes how to start OrCAD Capture.

Getting started. Starting Capture. To start Capture. This chapter describes how to start OrCAD Capture. Getting started 1 This chapter describes how to start OrCAD Capture. Starting Capture The OrCAD Release 9 installation process puts Capture in the \PROGRAM FILES\ORCAD\CAPTURE folder, and adds Pspice Student

More information

ECE471/571 Energy Efficient VLSI Design Project 2 Cadence Setup and Creation of an Inverter Due Date 11:30 am on Friday, February 2 nd, 2018

ECE471/571 Energy Efficient VLSI Design Project 2 Cadence Setup and Creation of an Inverter Due Date 11:30 am on Friday, February 2 nd, 2018 ECE471/571 Energy Efficient VLSI Design Project 2 Cadence Setup and Creation of an Inverter Due Date 11:30 am on Friday, February 2 nd, 2018 Introduction This project will first walk you through the setup

More information

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group.

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Revision Notes: Jan. 2006 Updated for use with spectre simulator

More information

INTRODUCTION TO MENTOR GRAPHICS DESIGN TOOLS

INTRODUCTION TO MENTOR GRAPHICS DESIGN TOOLS INTRODUCTION TO MENTOR GRAPHICS DESIGN TOOLS 1. RUNNING MENTOR GRAPHICS Erdem S. Erdogan 09.13.2006 Note: These commands can be run remotely via ssh to one of the DSIL machines. If running remotely, ignore

More information

CES 522: Laboratory Manual for Digital Integrated Circuit Design. Jack Ou, Ph.D.

CES 522: Laboratory Manual for Digital Integrated Circuit Design. Jack Ou, Ph.D. CES 522: Laboratory Manual for Digital Integrated Circuit Design Jack Ou, Ph.D. September 2011 2 Contents 1 Getting Started with ICStudio 5 1.1 Create a Project Directory.................... 5 1.2 Start

More information

The original document link is

The original document link is Tutorial:Analog Artist with HSPICE The original document link is http://www.eda.ncsu.edu/wiki/tutorial:analog_artist_with_hspice This tutorial will introduce you to the Cadence Environment: specifically

More information

Revision Notes: July2004 Generate tutorial for single transistor analysis. Based on existing schematic entry tutorial developed for ECE410

Revision Notes: July2004 Generate tutorial for single transistor analysis. Based on existing schematic entry tutorial developed for ECE410 Cadence Analog Tutorial 1: Schematic Entry and Transistor Characterization Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Revision Notes: July2004 Generate tutorial for

More information

Cadence Tutorial C: Simulating DC and Timing Characteristics 1

Cadence Tutorial C: Simulating DC and Timing Characteristics 1 Cadence Tutorial C: Simulating DC and Timing Characteristics Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group Last updated by Patrick O Hara SS15 Document Contents Introduction

More information

EE261 Computer Project 1: Using Mentor Graphics for Digital Simulation

EE261 Computer Project 1: Using Mentor Graphics for Digital Simulation EE261 Computer Project 1: Using Mentor Graphics for Digital Simulation Introduction In this project, you will begin to explore the digital simulation tools of the Mentor Graphics package available on the

More information

EE115C Digital Electronic Circuits. Tutorial 2: Hierarchical Schematic and Simulation

EE115C Digital Electronic Circuits. Tutorial 2: Hierarchical Schematic and Simulation EE115C Digital Electronic Circuits Tutorial 2: Hierarchical Schematic and Simulation The objectives are to become familiar with Virtuoso schematic editor, learn how to create the symbol view of basic primitives,

More information

Cadence Schematic Tutorial. EEE5320/EEE4306 Fall 2015 University of Florida ECE

Cadence Schematic Tutorial. EEE5320/EEE4306 Fall 2015 University of Florida ECE Cadence Schematic Tutorial EEE5320/EEE4306 Fall 2015 University of Florida ECE 1 Remote access You may access the Linux server directly from the NEB Computer Lab using your GatorLink username and password.

More information

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION After finishing a schematic of your design (Tutorial-I), the next step is creating masks which are for

More information

APPENDIX-A INTRODUCTION TO OrCAD PSPICE

APPENDIX-A INTRODUCTION TO OrCAD PSPICE 220 APPENDIX-A INTRODUCTION TO OrCAD PSPICE 221 APPENDIX-A INTRODUCTION TO OrCAD PSPICE 1.0 INTRODUCTION Computer aided circuit analysis provides additional information about the circuit performance that

More information

Cadence Tutorial: Schematic Entry and Circuit Simulation of a CMOS Inverter

Cadence Tutorial: Schematic Entry and Circuit Simulation of a CMOS Inverter Cadence Tutorial: Schematic Entry and Circuit Simulation of a CMOS Inverter Introduction This tutorial describes the steps involved in the design and simulation of a CMOS inverter using the Cadence Virtuoso

More information

EE 471: Transport Phenomena in Solid State Devices

EE 471: Transport Phenomena in Solid State Devices EE 471: Transport Phenomena in Solid State Devices HW7 Due: 4/17/18 For this homework, you will download a free PC version of the industry standard SPICE circuit simulator called LTspice, provided by Linear

More information

Notes for simulating digital circuits with ELDO Input files used by ELDO, Transistor Scaling, Forces, and Plotting rev 2 DA-IC and ELDO Files

Notes for simulating digital circuits with ELDO Input files used by ELDO, Transistor Scaling, Forces, and Plotting rev 2 DA-IC and ELDO Files Notes for simulating digital circuits with ELDO Input files used by ELDO, Transistor Scaling, Forces, and Plotting rev 2 DA-IC and ELDO Files Two files are used as input to ELDO: design_name.cir and design_name.spi

More information

PSpice with Orcad 10

PSpice with Orcad 10 PSpice with Orcad 10 1. Creating Circuits Using PSpice Tutorial 2. AC Analysis 3. Step Response 4. Dependent Sources 5. Variable Phase VSin Source Page 1 of 29 Creating Circuits using PSpice Start Orcad

More information

DOWNLOAD PDF CADENCE WAVEFORM CALCULATOR USER GUIDE

DOWNLOAD PDF CADENCE WAVEFORM CALCULATOR USER GUIDE Chapter 1 : CSE / Cadence Tutorial The Cadence Design Communities support Cadence users and technologists interacting to exchange ideas, news, technical information, and best practices to solve problems

More information

UNIVERSITY OF CALIFORNIA, DAVIS Department of Electrical and Computer Engineering. EEC180A DIGITAL SYSTEMS I Winter 2015

UNIVERSITY OF CALIFORNIA, DAVIS Department of Electrical and Computer Engineering. EEC180A DIGITAL SYSTEMS I Winter 2015 UNIVERSITY OF CALIFORNIA, DAVIS Department of Electrical and Computer Engineering EEC180A DIGITAL SYSTEMS I Winter 2015 LAB 1: Introduction to Quartus II Schematic Capture and ModelSim Simulation This

More information

1. Working with PSpice:

1. Working with PSpice: Applied Electronics, Southwest Texas State University, 1, 13 1. Working with PSpice: PSpice is a circuit simulator. It uses the Kirchhoff s laws and the iv-relation of the used components to calculate

More information

Manual for Wavenology EM Graphic Circuit Editor. Wave Computation Technologies, Inc. Jan., 2013

Manual for Wavenology EM Graphic Circuit Editor. Wave Computation Technologies, Inc. Jan., 2013 Manual for Wavenology EM Graphic Circuit Editor Wave Computation Technologies, Inc. Jan., 2013 1 Introduction WCT Graphic Circuit Editor is used to build a Spice circuit model in WCT EM full wave simulator.

More information

PSpice Tutorial. Physics 160 Spring 2006

PSpice Tutorial. Physics 160 Spring 2006 PSpice Tutorial This is a tutorial designed to guide you through the simulation assignment included in the first homework set. You may either use the program as installed in the lab, or you may install

More information

Figure 1: ADE Test Editor

Figure 1: ADE Test Editor Due to some issues that ADE GXL simulation environment has (probably because of inappropriate setup), we will run simulations in the ADE L design environment, which includes all the necessary tools that

More information

EE 330 Spring Laboratory 2: Basic Boolean Circuits

EE 330 Spring Laboratory 2: Basic Boolean Circuits EE 330 Spring 2013 Laboratory 2: Basic Boolean Circuits Objective: The objective of this experiment is to investigate methods for evaluating the performance of Boolean circuits. Emphasis will be placed

More information

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Introduction This tutorial is an introduction to schematic capture and circuit simulation for ENGN1600 using Cadence Virtuoso. These courses

More information

S Exercise 1C Testing the Ring Oscillator

S Exercise 1C Testing the Ring Oscillator S-87.3148 Exercise 1C Testing the Ring Oscillator Aalto University School of Electrical Engineering Department of Micro- and Nanosciences (ECDL) 10.9.2014 1 1 Building the test bench In this exercise,

More information

EE 105 Microelectronic Devices & Circuits FALL 2018 C. Nguyen

EE 105 Microelectronic Devices & Circuits FALL 2018 C. Nguyen 1. Objective UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences HSPICE Tutorial The objective of this session is to give initial exposure to the

More information

Copyright 2008 Linear Technology. All rights reserved. Getting Started

Copyright 2008 Linear Technology. All rights reserved. Getting Started Copyright. All rights reserved. Getting Started Copyright. All rights reserved. Draft a Design Using the Schematic Editor 14 Start with a New Schematic New Schematic Left click on the New Schematic symbol

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

Setting up an initial ".tcshrc" file

Setting up an initial .tcshrc file ECE445 Fall 2005 Introduction to SaberSketch The SABER simulator is a tool for computer simulation of analog systems, digital systems and mixed signal systems. SaberDesigner consists of the three tools,

More information

TUTORIAL How to Use the SPICE Module

TUTORIAL How to Use the SPICE Module TUTORIAL How to Use the SPICE Module February 2018 1 1. Overview The SPICE Module is an add-on option in PSIM. Powered by CoolSPICE developed by CoolCAD Electronics LLC., the SPICE Module provides a SPICE

More information

TUTORIAL How to Use the SPICE Module

TUTORIAL How to Use the SPICE Module TUTORIAL How to Use the SPICE Module November 2017 1 1. Overview The SPICE Module is an add-on option in PSIM. Powered by CoolSPICE developed by CoolCAD Electronics LLC., the SPICE Module provides a SPICE

More information

UNIVERSITY OF WATERLOO

UNIVERSITY OF WATERLOO UNIVERSITY OF WATERLOO UW ASIC DESIGN TEAM: Cadence Tutorial Description: Part I: Layout & DRC of a CMOS inverter. Part II: Extraction & LVS of a CMOS inverter. Part III: Post-Layout Simulation. The Cadence

More information

Cadence Tutorial. Introduction to Cadence 0.18um, Implementation and Simulation of an inverter. A. Moradi, A. Miled et M. Sawan

Cadence Tutorial. Introduction to Cadence 0.18um, Implementation and Simulation of an inverter. A. Moradi, A. Miled et M. Sawan Cadence Tutorial Introduction to Cadence 0.18um, Implementation and Simulation of an inverter A. Moradi, A. Miled et M. Sawan Section 1: Introduction to Cadence You will see how to create a new library

More information

Creating Verilog Tutorial Netlist Release Date: 01/13/2005(Version 2)

Creating Verilog Tutorial Netlist Release Date: 01/13/2005(Version 2) Creating Verilog Tutorial 2-1 - Creating a verilog netlist for a schematic: The verilog netlist is necessary for automatic layout (placement and routing) tools. It contains information about the I/O pins

More information

Virtuoso Schematic Composer

Virtuoso Schematic Composer is a schematic design tool from Cadence. In this tutorial you will learn how to put electrical components, make wire connections, insert pins and check for connection error. Start Cadence Custom IC Design

More information

Design rule illustrations for the AMI C5N process can be found at:

Design rule illustrations for the AMI C5N process can be found at: Cadence Tutorial B: Layout, DRC, Extraction, and LVS Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Revised by C Young & Waqar A Qureshi -FS08 Document Contents Introduction

More information

Intro to Cadence. Brady Salz. ECE483 Spring 17

Intro to Cadence. Brady Salz. ECE483 Spring 17 Intro to Cadence Brady Salz ECE483 Spring 17 What We re Doing Learn you a Cadence Learn simulation vocabulary Basic schematic guidelines Simulation results Init Before we begin, open a terminal: $ module

More information

Simulation examples Chapter overview

Simulation examples Chapter overview Simulation examples 2 Chapter overview The examples in this chapter provide an introduction to the methods and tools for creating circuit designs, running simulations, and analyzing simulation results.

More information

Introduction to laboratory exercises in Digital IC Design.

Introduction to laboratory exercises in Digital IC Design. Introduction to laboratory exercises in Digital IC Design. A digital ASIC typically consists of four parts: Controller, datapath, memory, and I/O. The digital ASIC below, which is an FFT/IFFT co-processor,

More information

CS/EE 5720/6720 Analog IC Design Tutorial for Schematic Design and Analysis using Spectre

CS/EE 5720/6720 Analog IC Design Tutorial for Schematic Design and Analysis using Spectre CS/EE 5720/6720 Analog IC Design Tutorial for Schematic Design and Analysis using Spectre Introduction to Cadence EDA: The Cadence toolset is a complete microchip EDA (Electronic Design Automation) system,

More information

Layout and Layout Verification. of an Inverter Circuit

Layout and Layout Verification. of an Inverter Circuit Layout and Layout Verification of an Inverter Circuit Santa Clara University Department of Electrical Engineering By Piyush Panwar Under Guidance of Dr Samiha Mourad Date of Last Revision: August 7, 2010

More information

There are three windows that are opened. The screen that you will probably spend the most time in is the SCHEMATIC page.

There are three windows that are opened. The screen that you will probably spend the most time in is the SCHEMATIC page. Pspice Tutorial Create a new project and select Analog or Mixed A/D. Choose an appropriate project name and a path. A new window pop up with the Pspice project type, select Create a blank project and click

More information

Laboratory 3. EE 342 (VLSI Circuit Design) - Using Spectre netlist and Calculator for simulation

Laboratory 3. EE 342 (VLSI Circuit Design) - Using Spectre netlist and Calculator for simulation EE 342 (VLSI Circuit Design) Laboratory 3 - Using Spectre netlist and Calculator for simulation By Mulong Li, 2013 1 Background knowledge Spectre: is a SPICE-class circuit simulator. It provides the basic

More information

EEC 118 Spring 2011 Lab #5 Manchester Carry-Chain Adder

EEC 118 Spring 2011 Lab #5 Manchester Carry-Chain Adder EEC 118 Spring 2011 Lab #5 Manchester Carry-Chain Adder Rajeevan Amirtharajah Dept. of Electrical and Computer Engineering University of California, Davis Issued: May 9, 2011 Due: May 20, 2011, 5 PM in

More information

ECE471/571 Energy Ecient VLSI Design

ECE471/571 Energy Ecient VLSI Design ECE471/571 Energy Ecient VLSI Design Project 2 Cadence Setup and Creation of an Inverter Due Date 11:30pm on Friday, January 30 th 2015 Introduction This project will rst walk you through the setup for

More information

Tutorial on getting started in Cadence. Advanced Analog Circuits Spring 2015 Instructor: Prof. Harish Krishnaswamy TA: Jahnavi Sharma

Tutorial on getting started in Cadence. Advanced Analog Circuits Spring 2015 Instructor: Prof. Harish Krishnaswamy TA: Jahnavi Sharma Tutorial on getting started in Cadence Advanced Analog Circuits Spring 2015 Instructor: Prof. Harish Krishnaswamy TA: Jahnavi Sharma Getting Started Start Cadence from the terminal by using the command

More information

Click on the SwCAD III shortcut created by the software installation.

Click on the SwCAD III shortcut created by the software installation. LTSpice Guide Click on the SwCAD III shortcut created by the software installation. Select File and New Schematic. Add a component Add a resistor Press R or click the resistor button to insert a resistor.

More information

Lab 5: Circuit Simulation with PSPICE

Lab 5: Circuit Simulation with PSPICE Page 1 of 11 Laboratory Goals Introduce text-based PSPICE as a design tool Create transistor circuits using PSPICE Simulate output response for the designed circuits Introduce the Tektronics 571 Curve

More information

SPICE Models: ROHM Voltage Detector ICs

SPICE Models: ROHM Voltage Detector ICs SPICE Models: ROHM Voltage Detector ICs BD48 G/FVE,BD49 G/FVE,BD52 G/FVE,BD53 G/FVE, No.10006EAY01 1. INTRODUCTION 1.1 SPICE SPICE is a general-purpose circuit-simulation program for nonlinear DC, nonlinear

More information

Defining & Running Circuit Simulation Analyses

Defining & Running Circuit Simulation Analyses Defining & Running Circuit Simulation Analyses Summary Tutorial TU0106 (v1.6) April 20, 2008 This tutorial looks at creating a schematic of an analog filter design that is set up for circuit simulation.

More information

VLSI Lab Tutorial 1. Cadence Virtuoso Schematic Composer Introduction

VLSI Lab Tutorial 1. Cadence Virtuoso Schematic Composer Introduction VLSI Lab Tutorial 1 Cadence Virtuoso Schematic Composer Introduction 1.0 Introduction The purpose of the first lab tutorial is to help you become familiar with the schematic editor, Virtuoso Schematic

More information

Altera Quartus II Tutorial ECE 552

Altera Quartus II Tutorial ECE 552 Altera Quartus II Tutorial ECE 552 Quartus II by Altera is a PLD Design Software which is suitable for high-density Field-Programmable Gate Array (FPGA) designs, low-cost FPGA designs, and Complex Programmable

More information

Virtuoso Layout Editor

Virtuoso Layout Editor This tutorial will cover the basic steps involved in using the Cadence layout editor called Virtuoso, extracting layout, and running simulation on the layout. The inverter layout is used as an example

More information

Design Architect Student Workbook Mentor Graphics Corporation All rights reserved.

Design Architect Student Workbook Mentor Graphics Corporation All rights reserved. Design Architect Student Workbook 1981-2009 Mentor Graphics Corporation All rights reserved. This document contains information that is proprietary to Mentor Graphics Corporation. The original recipient

More information

University of Florida EEL 3701 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering Revision 0 12-Jun-16

University of Florida EEL 3701 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering Revision 0 12-Jun-16 Page 1/14 Quartus Tutorial with Basic Graphical Gate Entry and Simulation Example Problem Given the logic equation Y = A*/B + /C, implement this equation using a two input AND gate, a two input OR gate

More information

CADENCE SETUP. ECE4430-Analog IC Design

CADENCE SETUP. ECE4430-Analog IC Design CADENCE SETUP This short tutorial shows how to configure Cadence to use the NCSU Cadence Design Kit (CDK) with access to the ON Semiconductor C5 0.5-µm and the TSMC 0.35-µm CMOS processes libraries. In

More information

Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial

Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial 1 Table of Contents Design Flow in Libero TM IDE v2.3 Step 1 - Design Creation 3 Step 2 - Design Verification

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation NTU IC541CA 1 Assumed Knowledge This lab assumes use of the Electric

More information

Chapter 4 Determining Cell Size

Chapter 4 Determining Cell Size Chapter 4 Determining Cell Size Chapter 4 Determining Cell Size The third tutorial is designed to give you a demonstration in using the Cell Size Calculator to obtain the optimal cell size for your circuit

More information

Cadence Tutorial D: Using Design Variables and Parametric Analysis Document Contents Introduction Using Design Variables Apply Apply

Cadence Tutorial D: Using Design Variables and Parametric Analysis Document Contents Introduction Using Design Variables Apply Apply Cadence Tutorial D: Using Design Variables and Parametric Analysis Created for the MSU VLSI program by Casey Wallace Last Updated by: Patrick O Hara SS15 Document Contents Introduction Using Design Variables

More information

Fall 2008: EE5323 VLSI Design I using Cadence

Fall 2008: EE5323 VLSI Design I using Cadence 1 of 23 9/17/2008 6:47 PM Fall 2008: EE5323 VLSI Design I using Cadence This tutorial has been adapted from EE5323 offered in Fall 2007. Thanks to Jie Gu, Prof. Chris Kim and Satish Sivaswamy of the University

More information

What s New in PADS

What s New in PADS What s New in PADS 2007.4 Copyright Mentor Graphics Corporation 2008 All Rights Reserved. Mentor Graphics, Board Station, ViewDraw, Falcon Framework, IdeaStation, ICX and Tau are registered trademarks

More information

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science. EECS 150 Spring 2000

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science. EECS 150 Spring 2000 University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science EECS 150 Spring 2000 Lab 1 Introduction to Xilinx Design Software 1 Objectives In this

More information

ECE C61: Computer Architecture Design Mentor Graphics Tutorial Introduction Window Environment The Mentor Graphics Falcon Framework Tutorial Format

ECE C61: Computer Architecture Design Mentor Graphics Tutorial Introduction Window Environment The Mentor Graphics Falcon Framework Tutorial Format January 20, 2000 ECE C61: Computer Architecture Design Mentor Graphics Tutorial Introduction The purpose of this tutorial is to introduce you to the software you will be using to complete the project of

More information

EECE 285 VLSI Design. Cadence Tutorial EECE 285 VLSI. By: Kevin Dick Co-author: Jeff Kauppila Co-author: Dr. Arthur Witulski

EECE 285 VLSI Design. Cadence Tutorial EECE 285 VLSI. By: Kevin Dick Co-author: Jeff Kauppila Co-author: Dr. Arthur Witulski Cadence Tutorial EECE 285 VLSI By: Kevin Dick Co-author: Jeff Kauppila Co-author: Dr. Arthur Witulski 1 Table of Contents Purpose of Cadence 1) The Purpose of Cadence pg. 4 Linux 1) The Purpose of Linux

More information

Hardware describing languages, high level tools and Synthesis

Hardware describing languages, high level tools and Synthesis Hardware describing languages, high level tools and Synthesis Hardware describing languages (HDL) Compiled/Interpreted Compiled: Description compiled into C and then into binary or directly into binary

More information

Lab 2: Functional Simulation Using. Affirma Analog Simulator

Lab 2: Functional Simulation Using. Affirma Analog Simulator Lab 2: Functional Simulation Using Affirma Analog Simulator This Lab will go over: 1. Creating a test bench 2. Simulation in Spectre Spice using the Analog Design environment 1. Creating a test bench:

More information

Select the technology library: NCSU_TechLib_ami06, then press OK.

Select the technology library: NCSU_TechLib_ami06, then press OK. ECE 126 Inverter Tutorial: Schematic & Symbol Creation Created for GWU by Anis Nurashikin Nordin & Thomas Farmer Tutorial adapted from: http://www.ee.ttu.edu/ee/cadence/commondirectory/final%20tutorials/digitalcircuitsimulationusingvirtuoso.doc

More information

LTspice Getting Started Guide. Copyright 2007 Linear Technology. All rights reserved.

LTspice Getting Started Guide. Copyright 2007 Linear Technology. All rights reserved. Copyright 2007 Linear Technology. All rights reserved. Why Use LTspice? Stable SPICE circuit simulation with Unlimited number of nodes Schematic/symbol editor Waveform viewer Library of passive devices

More information

Cadence IC Design Manual

Cadence IC Design Manual Cadence IC Design Manual For EE5518 ZHENG Huan Qun Lin Long Yang Revised on May 2017 Department of Electrical & Computer Engineering National University of Singapore 1 P age Contents 1 INTRODUCTION...

More information

Lab 2. Standard Cell layout.

Lab 2. Standard Cell layout. Lab 2. Standard Cell layout. The purpose of this lab is to demonstrate CMOS-standard cell design. Use the lab instructions and the cadence manual (http://www.es.lth.se/ugradcourses/cadsys/cadence.html)

More information

Using Cadence Virtuoso, a UNIX based OrCAD PSpice like program, Remotely on a Windows Machine

Using Cadence Virtuoso, a UNIX based OrCAD PSpice like program, Remotely on a Windows Machine Using Cadence Virtuoso, a UNIX based OrCAD PSpice like program, Remotely on a Windows Machine A. Launch PuTTY. 1. Load the Saved Session that has Enable X11 forwarding and the Host Name is cvl.ece.vt.edu.

More information

EE115C Digital Electronic Circuits. Tutorial 4: Schematic-driven Layout (Virtuoso XL)

EE115C Digital Electronic Circuits. Tutorial 4: Schematic-driven Layout (Virtuoso XL) EE115C Digital Electronic Circuits Tutorial 4: Schematic-driven Layout (Virtuoso XL) This tutorial will demonstrate schematic-driven layout on the example of a 2-input NAND gate. Simple Layout (that won

More information

This is a brief tutorial about building a Symbol for a Schematic in Cadence IC design tool environment for hierarchical design of schematics.

This is a brief tutorial about building a Symbol for a Schematic in Cadence IC design tool environment for hierarchical design of schematics. This is a brief tutorial about building a Symbol for a Schematic in Cadence IC design tool environment for hierarchical design of schematics. 1. > cd work035 2. > cadsetup ams035 3. > virtuoso& IMPORTANT:

More information

Using KiCad with AimSpice Doc 0.2 CETA - Univ. Hartford, Connecticut, USA

Using KiCad with AimSpice Doc 0.2 CETA - Univ. Hartford, Connecticut, USA Using KiCad with AimSpice Doc 0.2 CETA - Univ. Hartford, Connecticut, USA KiCad is a open source software package for schematic capture and PC board layout. KiCad also provides some capability in producing

More information

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science EECS 150 Fall 2000 Original Lab By: J.Wawrzynek and N. Weaver Edited by B. Choi, R.

More information

AMS 0.18 µm PDK Setup and Cadence Tutorial Contributors

AMS 0.18 µm PDK Setup and Cadence Tutorial Contributors AMS 0.18 µm PDK Setup and Cadence Tutorial Contributors Muhammad Ahmed, Sita Asar, and Ayman Fayed, Power Management Research Lab, https://pmrl.osu.edu, Department of Electrical and Computer Engineering,

More information

Lab 1: Cadence Custom IC design tools- Setup, Schematic capture and simulation

Lab 1: Cadence Custom IC design tools- Setup, Schematic capture and simulation Lab 1: Cadence Custom IC design tools- Setup, Schematic capture and simulation Brittany Duffy EE 330- Integrated Electronics Lab Section B Professor Randy Geiger 1/24/13 Introduction The main goal of this

More information

Simulation with Verilog-XL

Simulation with Verilog-XL Simulation with Verilog-XL Adapted from Princeton Cadence Page (http://www.ee.princeton.edu/~cadence/usr/verilog.html) Until now, we have been using the Analog Environment to do simulations. This simulator

More information

ECE 546 HOMEWORK No 10 Due Thursday, April 19, yes last

ECE 546 HOMEWORK No 10 Due Thursday, April 19, yes last ECE 546 HOMEWORK No 10 Due Thursday, April 19, 2018 In this homework you will extract the pulse response of the given channel, extract the decision feedback equalization (DFE) coefficients to equalize

More information

University of Florida EEL 3701 Dr. Eric M. Schwartz Madison Emas, TA Department of Electrical & Computer Engineering Revision 1 5-Jun-17

University of Florida EEL 3701 Dr. Eric M. Schwartz Madison Emas, TA Department of Electrical & Computer Engineering Revision 1 5-Jun-17 Page 1/14 Example Problem Given the logic equation Y = A*/B + /C, implement this equation using a two input AND gate, a two input OR gate and two inverters under the Quartus environment. Upon completion

More information

Probes are available for placement in the Schematic Editor only, by choosing Place» Directives» Instrument Probe [P, V, I] from the main menus.

Probes are available for placement in the Schematic Editor only, by choosing Place» Directives» Instrument Probe [P, V, I] from the main menus. Instrument Probe Old Content - visit altium.com/documentation Modified by Admin on Nov 18, 2013 Parent Page: Objects An Instrument Probe. Summary An instrument probe is a design directive. It instructs

More information

Parameter Sweep. Description. Setup. Parameters. Modified by on 13-Sep-2017

Parameter Sweep. Description. Setup. Parameters. Modified by on 13-Sep-2017 Parameter Sweep Old Content - visit altium.com/documentation Modified by on 13-Sep-2017 Description The Parameter Sweep feature allows you to sweep the value of a device in defined increments, over a specified

More information

Graph based simulation tutorial

Graph based simulation tutorial Capítulo 1 Graph based simulation tutorial 1.1. Introduction The purpose of this tutorial is to show you, by use of a simple amplifier circuit, how to perform a graph based simulation using PROTEUS VSM.

More information

E85: Digital Design and Computer Engineering Lab 1: Electrical Characteristics of Logic Gates

E85: Digital Design and Computer Engineering Lab 1: Electrical Characteristics of Logic Gates E85: Digital Design and Computer Engineering Lab 1: Electrical Characteristics of Logic Gates Objective The purpose of this lab is to become comfortable with logic gates as physical objects, to interpret

More information

EE 210 Lab Assignment #2: Intro to PSPICE

EE 210 Lab Assignment #2: Intro to PSPICE EE 210 Lab Assignment #2: Intro to PSPICE ITEMS REQUIRED None Non-formal Report due at the ASSIGNMENT beginning of the next lab no conclusion required Answers and results from all of the numbered, bolded

More information