LatticeXP2 Dual Boot Usage Guide

Size: px
Start display at page:

Download "LatticeXP2 Dual Boot Usage Guide"

Transcription

1 May 2007 Introduction Technical Note TN1144 Complementing its internal Flash configuration memory, the LatticeXP2 also provides support for inexpensive SPI Flash devices. This provides the ability to use an alternate or backup bitstream, referred to as the golden image. The device always attempts to load the primary image from the selected source. Should any unexpected interrupts occur during configuration of the primary image, the LatticeXP2 device will automatically switch sources and configure from the golden image location. Dual Boot Mode The LatticeXP2 Dual Boot sysconfig mode is selected using CFG pin settings. Table 17-1 lists the syscon- FIG modes supported by the LatticeXP2 device family. Figure 17-1 illustrates the SPI Flash hardware connections. Table LatticeXP2 sysconfig Modes CFG1 CFG0 Configuration Mode Primary Boot Source Secondary Boot Source Figure LatticeXP2 Hardware Connections to SPI Flash LatticeXP2 Dual Boot Usage Guide 0 0 External SPI Flash Internal Flash Dual Boot 1 0 Internal Flash External SPI Flash X 1 Self Download Mode (SDM) Internal Flash None LatticeXP2 SPI Serial Flash Primary or Golden Image CSSPIN SISPI SOSPI CCLK Internal Flash Memory Internal logic is used to detect a configuration failure from the primary source and provides the ability to reattempt configuration from the secondary source. This sequence is used when the LatticeXP2 is set to dual boot mode and configuration is initiated. Configuration initiates in dual boot mode when any of the following events occur: The device is powered-up with all supplies reaching their required minimum values The PROGRAMN pin is toggled The REFRESH command is issued via the ispjtag port Should configuration from both primary and golden images in dual boot mode fail, the INITN pin will be driven low and the configuration process will halt Lattice Semiconductor Corp. All Lattice trademarks, registered trademarks, patents, and disclaimers are as listed at All other brand or product names are trademarks or registered trademarks of their respective holders. The specifications and information herein are subject to change without notice tn1144_01.1

2 Dual Boot Flash Programming In order to use dual configuration images, the data must be programmed for storage within the corresponding Flash locations separately. ispvm System software provides the ability to program both LatticeXP2 internal Flash and supported external SPI Flash memory devices. Note: To allow programming of the external SPI Flash device, the LatticeXP2 CFG0 pin should be low. Procedure Note: This procedure assumes basic familiarity with ispvm System. For more information on using ispvm System, refer to the tutorials and contents within the help system. 1. Using isplever, create JEDEC files for the LatticeXP2 device to be used as primary and golden images. To preserve the sysconfig port, the PERSISTENT option in the isplever Design Planner must be set to ON. 2. Open ispvm System. 3. Scan the chain or manually insert the devices representing the JTAG chain. An example chain is shown in Figure Figure ispvm System 4. Double-click the LatticeXP2 device to open the Device Information Window, as shown in Figure 17-3, and select Flash Programming Mode from Device Access Options. 17-2

3 Figure Device Information Window 5. Under Data File, browse to the appropriate JEDEC file to be programmed into the internal Flash memory. 6. Set the Operation field to Flash Erase, Program, Verify. 7. Press OK to return to the main ispvm System window. 8. Press the green GO button to download the data file into the LatticeXP2 internal Flash memory. 9. To prepare a data file to program the SPI Flash, open the Universal File Writer tool by clicking the UFW button from the ispvm System toolbar. A window will appear, as shown in Figure Figure Universal File Writer 17-3

4 10. Specify a bitstream file output by pulling down the selector from the toolbar. 11. Double-click Input Data File in the left-hand windowpane. Browse to the appropriate isplever-created JEDEC file. 12. Double-click Output Data File in the left-hand windowpane. Select the desired path and name of the file to be created. The UFW window should appear similar to Figure Figure UFW Settings for JEDEC to Bitstream Conversion 13. Press the Generate button or choose Project->Generate. The bitstream file will then be created. 14. Close the UFW tool and return to ispvm System. 15. Double-click the device in ispvm System to bring up the device settings dialog. 16. Change the Device Access Options to SPI Flash Programming. This will bring up another dialog, as shown in Figure

5 Figure SPI Serial Flash Device Selection 17. Press Select to choose from a list of supported SPI Flash devices. 18. Under Data File, browse to the appropriate bitstream file to be programmed into the external SPI Flash memory. The results should be similar to Figure Figure Completed SPI Serial Flash Device Settings 19. Press OK to exit the SPI Serial Flash Device setup window. 20. At the main ispvm System window, the LatticeXP2 device is now ready to program the SPI Flash device. Click the green GO button on the toolbar to execute this process. Provided the LatticeXP2 CFG pins are set to one of the dual boot modes, the device is now configured to use the corresponding dual boot capability. Upon toggling the PROGRAMN pin or power cycling, the device will load the primary image. 17-5

6 Technical Support Assistance Hotline: LATTICE (North America) (Outside North America) Internet: Revision History Date Version Change Summary February Initial release. May Added note to Dual Boot Flash Programming section. Updated step 1 in the Procedure section. 17-6

LatticeXP2 Configuration Encryption and Security Usage Guide

LatticeXP2 Configuration Encryption and Security Usage Guide May 2008 Introduction Technical Note TN1142 Unlike a volatile FPGA, which requires an external boot-prom to store configuration data, the LatticeXP2 devices are non-volatile and have on-chip configuration

More information

LatticeXP2 Hardware Checklist

LatticeXP2 Hardware Checklist September 2013 Technical Note TN1143 Introduction Starting a complex system with a large FPGA hardware design requires that the FPGA designer pay attention to the critical hardware implementation to increase

More information

LatticeXP2 sysconfig Usage Guide

LatticeXP2 sysconfig Usage Guide January 2014 Introduction Technical Note TN1141 The memory in the LatticeXP2 FPGAs is built using Flash cells, along with SRAM cells, so that configuration memory can be loaded automatically at power-up,

More information

Minimizing System Interruption During Configuration Using TransFR Technology

Minimizing System Interruption During Configuration Using TransFR Technology October 2015 Technical Note TN1087 Introduction One of the fundamental benefits of using an FPGA is the ability to reconfigure its functionality without removing the device from the system. A number of

More information

SPI Serial Flash Programming Using ispjtag on LatticeECP/EC FPGAs

SPI Serial Flash Programming Using ispjtag on LatticeECP/EC FPGAs October 2005 Introduction Technical Note TN1078 Like all SRAM FPGAs the LatticeECP and LatticeEC devices need to be configured at power-up. This configuration can be done via: 1. Serial Peripheral Interface

More information

Dual Boot and Background Programming with Platform Manager 2

Dual Boot and Background Programming with Platform Manager 2 Dual Boot and Background Programming March 2015 Technical te TN1284 Introduction The Platform Manager 2 is a fast-reacting, programmable logic based hardware management controller. Platform Manager 2 is

More information

LatticeECP2/M Soft Error Detection (SED) Usage Guide

LatticeECP2/M Soft Error Detection (SED) Usage Guide Detection (SED) Usage Guide July 2008 Introduction Technical Note TN1113 Soft errors occur when high-energy charged particles alter the stored charge in a memory cell in an electronic circuit. The phenomenon

More information

Using the LatticeMico8 Microcontroller with the LatticeXP Evaluation Board

Using the LatticeMico8 Microcontroller with the LatticeXP Evaluation Board July 2007 Introduction Technical Note TN1095 The LatticeMico8 is a flexible 8-bit microcontroller optimized for Lattice's leading edge families. This document describes the operation and use of a demonstration

More information

LatticeXP2 Soft Error Detection (SED) Usage Guide

LatticeXP2 Soft Error Detection (SED) Usage Guide Detection (SED) Usage Guide October 2012 Introduction Technical Note TN1130 Soft errors occur when high-energy charged particles alter the stored charge in a memory cell in an electronic circuit. The phenomenon

More information

Reference Design RD1065

Reference Design RD1065 April 011 Reference Design RD1065 Introduction Most microprocessors have a General Purpose Input/Output (GPIO) interface to communicate with external devices and peripherals through various protocols These

More information

ISP Engineering Kit Model 300

ISP Engineering Kit Model 300 TM ISP Engineering Kit Model 300 December 2013 Model 300 Overview The Model 300 programmer supports JTAG programming of all Lattice devices that feature non-volatile configuration elements. The Model 300

More information

LatticeECP3, LatticeECP2/M, ECP5 and ECP5-5G Dual Boot and Multiple Boot Feature

LatticeECP3, LatticeECP2/M, ECP5 and ECP5-5G Dual Boot and Multiple Boot Feature LatticeECP3, LatticeECP2/M, ECP5 and ECP5-5G Dual Boot and Multiple Boot Feature October 2015 Introduction Technical Note TN1216 One of the biggest risks in field upgrade applications is disruption during

More information

BSCAN2 Multiple Scan Port Linker

BSCAN2 Multiple Scan Port Linker March 2015 Introduction Reference Design RD1002 According to the IEEE 1149.1 Boundary Scan System, every complex system can have more than one boundary scan compliant scan port. This design adds the capability

More information

LatticeXP2 Slave SPI Port User s Guide

LatticeXP2 Slave SPI Port User s Guide June 2012 Introduction LatticeXP2 Slave SPI Port User s Guide Technical te TN1213 The Serial Peripheral Interface (SPI) is the industry standard interface that can be found on most CPU and serial Flash

More information

Power Estimation and Management for LatticeXP2 Devices

Power Estimation and Management for LatticeXP2 Devices February 2007 Introduction Technical Note TN1139 One requirement for design engineers using programmable devices is the ability to calculate the power dissipation for a particular device used on a board.

More information

Programming External SPI Flash through JTAG for ECP5/ECP5-5G Technical Note

Programming External SPI Flash through JTAG for ECP5/ECP5-5G Technical Note Programming External SPI Flash through JTAG for ECP5/ECP5-5G FPGA-TN-02050 Version 1.0 October 2017 Contents Acronyms in This Document... 4 1. Introduction... 5 1.1. Features... 5 2. Programming External

More information

Programming the isppac-powr1220at8 in a JTAG Chain Using the ATDI Pin

Programming the isppac-powr1220at8 in a JTAG Chain Using the ATDI Pin in a Chain Using the A Pin February 2011 Application Note AN6068 Introduction The primary function of the isppac -POWR is to monitor, measure, trim/margin and to sequence the application of power to electronic

More information

Power Estimation and Management for LatticeECP/EC and LatticeXP Devices

Power Estimation and Management for LatticeECP/EC and LatticeXP Devices for LatticeECP/EC and LatticeXP Devices September 2012 Introduction Technical Note TN1052 One of the requirements when using FPGA devices is the ability to calculate power dissipation for a particular

More information

LED1 LED2. Capacitive Touch Sense Controller LED3 LED4

LED1 LED2. Capacitive Touch Sense Controller LED3 LED4 October 2012 Introduction Reference Design RD1136 Capacitive sensing is a technology based on capacitive coupling which takes human body capacitance as input. Capacitive touch sensors are used in many

More information

MachXO3 Soft Error Detection (SED)/ Correction (SEC) Usage Guide

MachXO3 Soft Error Detection (SED)/ Correction (SEC) Usage Guide March 2017 Technical Note TN1292 Introduction Memory errors can occur when high-energy charged particles alter the stored charge in a memory cell in an electronic circuit. The phenomenon first became an

More information

LatticeECP2/M Density Migration

LatticeECP2/M Density Migration August 2007 Introduction Technical Note TN1160 Due to the programmable nature of FPGA devices, parts are chosen based on estimates of a system s design requirements. Choices of which FPGA to implement

More information

MachXO2 Programming and Configuration Usage Guide

MachXO2 Programming and Configuration Usage Guide July 2017 Introduction Technical te TN1204 The MachXO2 is an SRAM-based Programmable Logic Device that includes an internal Flash memory which makes the MachXO2 appear to be a non-volatile device. The

More information

Importing HDL Files with Platform Manager 2

Importing HDL Files with Platform Manager 2 August 2014 Introduction Technical Note TN1287 The Platform Manager 2 is a fast-reacting, programmable logic based hardware management controller. Platform Manager 2 is an integrated solution combining

More information

LatticeSC/M Broadcom HiGig+ 12 Gbps Physical Layer Interoperability Over CX-4

LatticeSC/M Broadcom HiGig+ 12 Gbps Physical Layer Interoperability Over CX-4 LatticeSC/M Broadcom HiGig+ 12 Gbps August 2007 Technical Note TN1154 Introduction This technical note describes a physical layer HiGig+ 12 Gbps interoperability test between a LatticeSC/M device and the

More information

Power Estimation and Management for MachXO Devices

Power Estimation and Management for MachXO Devices September 2007 Technical Note TN1090 Introduction One requirement for design engineers using programmable devices is to be able to calculate the power dissipation for a particular device used on a board.

More information

LatticeSCM SPI4.2 Interoperability with PMC-Sierra PM3388

LatticeSCM SPI4.2 Interoperability with PMC-Sierra PM3388 August 2006 Technical Note TN1121 Introduction The System Packet Interface, Level 4, Phase 2 (SPI4.2) is a system level interface, published in 2001 by the Optical Internetworking Forum (OIF), for packet

More information

LCMXO3LF-9400C SED/SEC Demo

LCMXO3LF-9400C SED/SEC Demo FPGA-UG-02023 Version 1.0 June 2017 Contents 1. Introduction... 4 1.1. Demo Design Overview... 4 1.2. MachXO3-9400 Development Board and Resources... 5 2. Functional Description... 6 3. Demo Package...

More information

Quick Start Guide. for isplever Software. isplever Project Navigator

Quick Start Guide. for isplever Software. isplever Project Navigator Quick Start Guide for isplever Software This guide offers a quick overview of using isplever software to implement a design in a Lattice Semiconductor device. For more information, check the isplever Help

More information

MachXO2 SED Usage Guide

MachXO2 SED Usage Guide January 2017 Introduction Technical Note TN1206 Memory errors can occur when high-energy charged particles alter the stored charge in a memory cell in an electronic circuit. The phenomenon first became

More information

isppac-powr1208p1 Evaluation Board PAC-POWR1208P1-EV

isppac-powr1208p1 Evaluation Board PAC-POWR1208P1-EV March 2007 Introduction Application Note AN6059 The Lattice Semiconductor isppac -POWR1208P1 In-System-Programmable Analog Circuit allows designers to implement both the analog and digital functions of

More information

ispvm System Software ISP TM Programming Software

ispvm System Software ISP TM Programming Software October 2002 ispvm System Software ISP TM Programming Software Data Sheet Features Serial and Turbo ispdownload of All Lattice ISP Devices Non-Lattice Device Programming Through SVF File Program Entire

More information

Lattice Semiconductor Design Floorplanning

Lattice Semiconductor Design Floorplanning September 2012 Introduction Technical Note TN1010 Lattice Semiconductor s isplever software, together with Lattice Semiconductor s catalog of programmable devices, provides options to help meet design

More information

Fail-Safe Startup Sequencing During Field Upgrades with Platform Manager

Fail-Safe Startup Sequencing During Field Upgrades with Platform Manager Fail-Safe Startup Sequencing During Field Upgrades June 2012 Application Note AN6088 Introduction The Platform Manager device family is a single-chip, fully-integrated solution for supervisory and control

More information

Adding Scalable Power and Thermal Management to ECP5 Using L-ASC10

Adding Scalable Power and Thermal Management to ECP5 Using L-ASC10 Adding Scalable Power and Thermal Management to ECP5 Using L-ASC10 June 2017 Application Note AN6095 Introduction Complex hardware systems require a large number of resources for sensing analog signals,

More information

MachXO2 Low Power Control Demo User s Guide

MachXO2 Low Power Control Demo User s Guide User s Guide April 2012 UG58_01.0 Introduction MachXO2 Low Power Control Demo There are many power saving features in the MachXO2 family of devices, such as bandgap, on-chip oscillator, PLL, etc. The purpose

More information

USB 3.1 Type-C Hardware Checklist

USB 3.1 Type-C Hardware Checklist USB 3.1 Type-C Hardware Checklist July 2015 Technical Note TN1299 Introduction While designing USB 3.1 Type-C solution hardware using LIF-UC devices (LIF-UC110, LIF-UC120, LIF- UC140), designers must pay

More information

LatticeSC/M 2.5GbE Physical/MAC Layer Interoperability Over CX-4

LatticeSC/M 2.5GbE Physical/MAC Layer Interoperability Over CX-4 LatticeSC/M 2.5GbE Physical/MAC Layer Interoperability Over CX-4 October 2007 Technical Note TN1164 Introduction This technical note describes a 1000BASE-X physical/mac layer Gigabit Ethernet (GbE) interoperability

More information

Scalable Low-Voltage Signaling with LatticeSC/M Devices

Scalable Low-Voltage Signaling with LatticeSC/M Devices Scalable LowVoltage Signaling July 2011 Application Note AN8085 Introduction Differential signaling has become increasingly popular in network and telecommunications markets for a number of reasons. It

More information

Platform Manager 2 I 2 C Demo Design and GUI

Platform Manager 2 I 2 C Demo Design and GUI User s Guide August 2014 UG59_1.1 Introduction The Platform Manager 2 is a fast-reacting, programmable logic based hardware management controller. Platform Manager 2 is an integrated solution combining

More information

Using Proprietary Lattice ISP Devices

Using Proprietary Lattice ISP Devices August 2001 Introduction This document describes how to program Lattice s In-System Programmable (ISP ) devices that utilize the proprietary Lattice ISP State Machine for programming, rather than the IEEE

More information

8b/10b Encoder/Decoder

8b/10b Encoder/Decoder 8b/b Encoder/Decoder February 22 Introduction Reference Design RD2 Many serial data transmission standards utilize 8b/b encoding to ensure sufficient data transitions for clock recovery. This reference

More information

Aldec Active-HDL Lattice Edition Floating License Setup (Windows/Linux)

Aldec Active-HDL Lattice Edition Floating License Setup (Windows/Linux) June 2008 Introduction Application Note AN8079 This application note complements the isplever 7.1 Installation Notice (Windows XP / Windows 2000 / Windows Vista (32-bit) or Linux) and describes how to

More information

Low-Cost Serial RapidIO to TI 6482 Digital Signal Processor Interoperability with LatticeECP3

Low-Cost Serial RapidIO to TI 6482 Digital Signal Processor Interoperability with LatticeECP3 October 2010 Introduction Technical Note TN1214 The RapidIO Interconnect Architecture is an industry-standard, packet-based interconnect technology that provides a reliable, high-performance interconnect

More information

i_csn i_wr i_rd i_cpol i_cpha i_lsb_first i_data [15:0] o_data [15:0] o_tx_ready o_rx_ready o_rx_error o_tx_error o_tx_ack o_tx_no_ack

i_csn i_wr i_rd i_cpol i_cpha i_lsb_first i_data [15:0] o_data [15:0] o_tx_ready o_rx_ready o_rx_error o_tx_error o_tx_ack o_tx_no_ack October 2012 Introduction Reference Design RD1142 The Serial Peripheral Interface (SPI) is used primarily for synchronous serial communication between a host processor and its peripherals. The SPI bus

More information

Powering Up and Programming the ProcessorPM isppac-powr605

Powering Up and Programming the ProcessorPM isppac-powr605 the ProcessorPM isppac-powr605 April 2011 Application Note AN6082 Introduction This application note discusses the states of the ProcessorPM isppac -POWR605 device s open drain logic outputs (IN_OUT1 to

More information

UART Register Set. UART Master Controller. Tx FSM. Rx FSM XMIT FIFO RCVR. i_rx_clk o_intr. o_out1 o_txrdy_n. o_out2 o_rxdy_n i_cs0 i_cs1 i_ads_n

UART Register Set. UART Master Controller. Tx FSM. Rx FSM XMIT FIFO RCVR. i_rx_clk o_intr. o_out1 o_txrdy_n. o_out2 o_rxdy_n i_cs0 i_cs1 i_ads_n October 2012 Reference Design RD1138 Introduction The Universal Asynchronous Receiver/Transmitter (UART) performs serial-to-parallel conversion on data characters received from a peripheral device or a

More information

LatticeSC/Marvell. XAUI Interoperability. Introduction. XAUI Interoperability

LatticeSC/Marvell. XAUI Interoperability. Introduction. XAUI Interoperability LatticeSC/Marvell XAUI Interoperability November 2006 Introduction Technical Note TN1128 The document provides a report on a XAUI interoperability test between a LatticeSC device and the Marvell 88X2040

More information

ice40 UltraPlus Image Sensor Elliptical Crypto Engine (ECC) Demo - Radiant Software User Guide

ice40 UltraPlus Image Sensor Elliptical Crypto Engine (ECC) Demo - Radiant Software User Guide ice40 UltraPlus Image Sensor Elliptical Crypto Engine (ECC) Demo - Radiant Software FPGA-UG-02060 Version 1.0 June 2018 Contents Acronyms in This Document... 3 1. Introduction... 4 2. Functional Description...

More information

Color Space Converter

Color Space Converter March 2009 Reference Design RD1047 Introduction s (CSC) are used in video and image display systems including televisions, computer monitors, color printers, video telephony and surveillance systems. CSCs

More information

Mixed-Language Simulation with Lattice IP Designs Using Active-HDL

Mixed-Language Simulation with Lattice IP Designs Using Active-HDL February 2007 Introduction Technical Note TN1146 Lattice provides pre-tested, reusable functions that can be easily integrated into designs; thereby, allowing the designer to focus on their unique system

More information

ice40 Ultra Self-Learning IR Remote User s Guide

ice40 Ultra Self-Learning IR Remote User s Guide ice40 Ultra Self-Learning IR Remote User s Guide June 2014 UG74_1.0 Introduction ice40 Ultra Self-Learning IR Remote User s Guide This guide describes how to use the ice40 Ultra Mobile Development Platform

More information

LCMXO3LF-9400C Simple Hardware Management Demo User Guide

LCMXO3LF-9400C Simple Hardware Management Demo User Guide LCMXO3LF-9400C Simple Hardware Management Demo FPGA-UG-02021 Version 1.0 June 2017 Contents 1. Introduction... 4 1.1. Demo Design Overview... 4 1.2. MachXO3-9400 Development Board and Resources... 4 2.

More information

It is well understood that the minimum number of check bits required for single bit error correction is specified by the relationship: D + P P

It is well understood that the minimum number of check bits required for single bit error correction is specified by the relationship: D + P P October 2012 Reference Design RD1025 Introduction This reference design implements an Error Correction Code (ECC) module for the LatticeEC and LatticeSC FPGA families that can be applied to increase memory

More information

isppac-powr607 Evaluation Board User s Guide

isppac-powr607 Evaluation Board User s Guide isppac-powr0 Evaluation Board User s Guide May 00 Revision: EB8_0.0 isppac-powr0 Introduction Lattice Semiconductor s Power Manager II isppac -POWR0 device simplifies power supply design by integrating

More information

LatticeECP3 Digital Front End Demonstration Design User s Guide

LatticeECP3 Digital Front End Demonstration Design User s Guide LatticeECP3 Digital Front End User s Guide September 2013 UG68_01.0 Introduction LatticeECP3 Digital Front End This document provides technical information and operating instructions for LatticeECP3 Digital

More information

Each I2C master has 8-deep transmit and receive FIFOs for efficient data handling. SPI to Dual I2C Masters. Registers

Each I2C master has 8-deep transmit and receive FIFOs for efficient data handling. SPI to Dual I2C Masters. Registers February 205 Introduction Reference Design RD73 I2C and SPI are the two widely used bus protocols in today s embedded systems. The I2C bus has a minimum pin count requirement and therefore a smaller footprint

More information

Gamma Corrector IP Core User Guide

Gamma Corrector IP Core User Guide Gamma Corrector IP Core User Guide March 2015 IPUG64_1.3 Table of Contents Chapter 1. Introduction... 4 Quick Facts... 4 Features... 5 Chapter 2. Functional Description... 6 Block Diagram... 6 Gamma Correction

More information

LatticeECP2M PCI Express Development Kit User s Guide

LatticeECP2M PCI Express Development Kit User s Guide LatticeECP2M PCI Express Development Kit User s Guide Version 1.1 For use with the LatticeECP2M PCIe Solutions Board Lattice Semiconductor Corporation 5555 NE Moore Court Hillsboro, OR 97124 (503) 268-8000

More information

Programming Cables User Guide

Programming Cables User Guide FPGA-UG-02042 Version 26.1 May 2018 Contents 1. Features... 4 2. Programming Cables... 4 3. Programming Cable Pin Definitions... 5 4. Programming Software... 7 5. Target Board Design Considerations...

More information

ispdownload Cables User s Guide

ispdownload Cables User s Guide ispdownload Cables User s Guide October 2012 UG48_24.5 Features Support for all Lattice programmable products 1.2V to 5V programming Ideal for design prototyping and debugging Connect to multiple PC interfaces

More information

Deploying LatticeMico32 Software to Non-Volatile Memory

Deploying LatticeMico32 Software to Non-Volatile Memory February 2008 Introduction Technical Note TN1173 The LatticeMico32 Software Developers Guide describes how to deploy firmware onto hardware that provides both non-volatile memory and volatile memory. The

More information

Solder Reflow Guide for Surface Mount Devices

Solder Reflow Guide for Surface Mount Devices April 2008 Introduction Technical Note TN1076 This technical note provides general guidelines for a solder reflow and rework process for Lattice surface mount products. The data used in this document is

More information

MachXO Starter Evaluation Board User s Guide

MachXO Starter Evaluation Board User s Guide MachXO Starter Evaluation Board April 00 Revision: ebug_0. Introduction The Lattice provides a convenient platform to evaluate, test and debug user designs. The board features a Lattice MachXO cross-over

More information

I2C Read-back Failure Mode on Specific Use Scenario in MachXO2 and MachXO3 Products and Work-Around Solutions

I2C Read-back Failure Mode on Specific Use Scenario in MachXO2 and MachXO3 Products and Work-Around Solutions Product Bulletin February 2015 PB1412 I2C Read-back Failure Mode on Specific Use Scenario in MachXO2 and MachXO3 Products and Work-Around Solutions Lattice is issuing this Product Bulletin to inform that

More information

Copyright 2012 Pulse Systems, Inc. Page 1 of 29

Copyright 2012 Pulse Systems, Inc. Page 1 of 29 Use the CCD Control to receive and distribute a patient's "Continuity of Care Document" which contains the recorded medical history from a particular facility. Click anywhere to continue Copyright 2012

More information

Median Filter IP Core User s Guide

Median Filter IP Core User s Guide Median Filter IP Core User s Guide December 2010 IPUG87_01.0 Table of Contents Chapter 1. Introduction... 4 Quick Facts... 4 Features... 4 Chapter 2. Functional Description... 6 Key Concepts... 6 Block

More information

I 2 C Slave Controller. I 2 C Master o_timeout_intr

I 2 C Slave Controller. I 2 C Master o_timeout_intr February 2015 Reference Design RD1140 Introduction I 2 C, or Inter-Integrated Circuit, is a popular serial interface protocol that is widely used in many electronic systems. The I 2 C interface is a two-wire

More information

LatticeSC MACO Core LSCDR1X18 Low-Speed Clock and Data Recovery User s Guide

LatticeSC MACO Core LSCDR1X18 Low-Speed Clock and Data Recovery User s Guide Low-Speed Clock and Data Recovery User s Guide January 2008 Technical Note TN1122 Introduction The LatticeSC (low-speed clock and data recovery) MACO core is a fully integrated low-power clock and data

More information

Lattice isplevercore IP Tutorial

Lattice isplevercore IP Tutorial Lattice isplevercore IP Tutorial Lattice Semiconductor Corporation 5555 NE Moore Court Hillsboro, OR 97124 (503) 268-8000 June 2006 Copyright Copyright 2006 Lattice Semiconductor Corporation. This document

More information

I 2 C Master Control FSM. I 2 C Bus Control FSM. I 2 C Master Controller

I 2 C Master Control FSM. I 2 C Bus Control FSM. I 2 C Master Controller February 2015 Introduction Reference Design RD1139 I 2 C or Inter-Integrated Circuit is a popular serial interface protocol that is widely used in many electronic systems. The I 2 C interface is a two-wire

More information

2D Edge Detector IP Core User s Guide

2D Edge Detector IP Core User s Guide 2D Edge Detector IP Core User s Guide February 2011 IPUG86_01.0 Table of Contents Chapter 1. Introduction... 3 Quick Facts... 3 Features... 3 Chapter 2. Functional Description... 4 Key Concepts... 4 Block

More information

DDR2 Demo for the LatticeECP3 Serial Protocol Board User s Guide

DDR2 Demo for the LatticeECP3 Serial Protocol Board User s Guide DDR2 Demo for the LatticeECP3 Serial Protocol Board User s Guide December 2011 UG49_01.0 Introduction This document provides technical information and instructions for using the LatticeECP3 DDR2 demo design.

More information

Quick Front-to-Back Overview Tutorial

Quick Front-to-Back Overview Tutorial Quick Front-to-Back Overview Tutorial PlanAhead Design Tool This tutorial document was last validated using the following software version: ISE Design Suite 14.5 If using a later software version, there

More information

MDIO Master and Slave Controllers

MDIO Master and Slave Controllers November 2013 Introduction Reference Design RD1194 Management Data Input/Output Interfaces, or, are specified in the IEEE 802.3 standard and intended to provide a serial interface to transfer management

More information

Technical Note. Reset Configurations for MT25Q, MT25T, and N25Q Flash Memory Devices. Introduction

Technical Note. Reset Configurations for MT25Q, MT25T, and N25Q Flash Memory Devices. Introduction Technical Note Reset onfigurations for MT25Q, MT25T, and N25Q Flash Memory Devices Introduction This technical note provides a list of the reset configurations available for the MT25Q, MT25T, and N25Q

More information

Using the ispxpga Floorplanner

Using the ispxpga Floorplanner Using the ispxpga Floorplanner Table of Contents USING THE ISPXPGA FLOORPLANNER...3 Task 1: Open the Design...4 Task 2: Open a Floorplanner Design File...5 Task 3: Tour the Graphical User Interface - The

More information

Programming Cables User s Guide

Programming Cables User s Guide Programming Cables User s Guide January_2015 UG48_24.7 Features Support for all Lattice programmable products 1.2 V to 3.3 V programming (HW-USBN-2B) 1.2 V to 5 V programming (All other cables) Ideal for

More information

IDE/ATA Interface Controller with WISHBONE

IDE/ATA Interface Controller with WISHBONE June 2010 Introduction Reference Design RD1095 Integrated Drive Electronics (IDE) is one of the most popular data bus interfaces for PCs. The IDE interface links a computer motherboard s data paths to

More information

Using the Serial FlashLoader With the Quartus II Software

Using the Serial FlashLoader With the Quartus II Software Using the Serial FlashLoader With the Quartus II Software July 2006, ver. 3.0 Application Note 370 Introduction Using the Joint Test Action Group () interface, the Altera Serial FlashLoader (SFL) is the

More information

LatticeSC flexipcs/serdes Design Guide

LatticeSC flexipcs/serdes Design Guide October 2008 Introduction Technical Note TN1145 This document has been provided to assist the designer in using the flexipcs /SERDES block in the LatticeSC FPGA. The LatticeSC/M Family flexipcs Data Sheet

More information

NIOS II Processor Booting Methods In MAX 10 Devices

NIOS II Processor Booting Methods In MAX 10 Devices 2015.01.23 AN-730 Subscribe MAX 10 device is the first MAX device series which supports Nios II processor. Overview MAX 10 devices contain on-chip flash which segmented to two types: Configuration Flash

More information

Data_o(7:0) Controller. Add_i(7:0) spiclkout. Data_i(7:0) ACK STB_I. RST_I spics_n CLK_I WE_I

Data_o(7:0) Controller. Add_i(7:0) spiclkout. Data_i(7:0) ACK STB_I. RST_I spics_n CLK_I WE_I January 2010 Reference Design RD1048 Introduction The Secure Digital (SD) Card is a Flash-based memory card that is widely used in today s consumer electronic devices. Its high-capacity, compact package

More information

Xilinx ISE8.1 and Spartan-3 Tutorial EE3810

Xilinx ISE8.1 and Spartan-3 Tutorial EE3810 Xilinx ISE8.1 and Spartan-3 Tutorial EE3810 1 Part1) Starting a new project Simple 3-to-8 Decoder Start the Xilinx ISE 8.1i Project Navigator: Select File > New Project in the opened window 2 Select a

More information

Enhanced Closed-loop Trim with I 2 C Control for Platform Manager Devices

Enhanced Closed-loop Trim with I 2 C Control for Platform Manager Devices Enhanced Closed-loop Trim with I 2 C Control for Platform Manager Devices December 2010 Introduction Reference Design RD1078 Modern microprocessors and FPGAs require accurate power supply voltages, often

More information

LatticeECP3 XAUI Demo Design User s Guide

LatticeECP3 XAUI Demo Design User s Guide LatticeECP3 XAUI Demo Design User s Guide June 2011 UG23_01.3 Introduction This document provides technical information and instructions on using the LatticeECP3 XAUI Demo Design. The demo has been designed

More information

Programming and Logic Analysis Tutorial

Programming and Logic Analysis Tutorial Programming and Logic Analysis Tutorial Lattice Semiconductor Corporation 5555 NE Moore Court Hillsboro, OR 97124 (503) 268-8000 May 2007 Copyright Copyright 2007 Lattice Semiconductor Corporation. This

More information

ice40 UltraPlus Display Frame Buffer User Guide

ice40 UltraPlus Display Frame Buffer User Guide FPGA-UG-02009 Version 1.1 March 2017 Contents 1. Introduction... 3 1.1. Clock Generator Module... 3 1.2. Main Control Module... 3 1.3. SPRAM Module... 4 1.4. Decompress Module... 4 1.5. 8BIT2RGB Module...

More information

ORCA Series Boundary Scan

ORCA Series Boundary Scan August 24 Introduction ORCA Series Boundary Scan Application Note AN873 The increasing complexity of integrated circuits and packages has increased the difficulty of testing printed-circuit boards. As

More information

MDP Based Face Detection Demonstration User Guide

MDP Based Face Detection Demonstration User Guide FPGA-UG-02047 Version 1.0 May 2018 Contents Acronyms in This Document... 3 1. Introduction... 4 2. Functional Description... 4 3. Demo Setup... 5 4. Programming the Face Detection Demo... 7 5. Running

More information

IAR C-SPY Hardware Debugger Systems User Guide

IAR C-SPY Hardware Debugger Systems User Guide IAR C-SPY Hardware Debugger Systems User Guide for the Renesas SH Microcomputer Family CSSHHW-1 COPYRIGHT NOTICE Copyright 2010 IAR Systems AB. No part of this document may be reproduced without the prior

More information

SP605 MultiBoot Design

SP605 MultiBoot Design SP605 MultiBoot Design October 2010 Copyright 2010 Xilinx XTP059 Revision History Date Version Description 10/05/10 12.3 Recompiled under 12.3. 07/23/10 12.2 Recompiled under 12.2. Copyright 2010 Xilinx,

More information

Keil TM MDK-ARM Quick Start for. Holtek s HT32 Series Microcontrollers

Keil TM MDK-ARM Quick Start for. Holtek s HT32 Series Microcontrollers Keil TM MDK-ARM Quick Start for Holtek s Microcontrollers Revision: V1.10 Date: August 25, 2011 Table of Contents 1 Introduction... 5 About the Quick Start Guide... 5 About the Keil MDK-ARM... 6 2 System

More information

9. SEU Mitigation in Cyclone IV Devices

9. SEU Mitigation in Cyclone IV Devices 9. SEU Mitigation in Cyclone IV Devices May 2013 CYIV-51009-1.3 CYIV-51009-1.3 This chapter describes the cyclical redundancy check (CRC) error detection feature in user mode and how to recover from soft

More information

Xilinx ISE8.1 and Spartan-3 Tutorial (Prepared by Kahraman Akdemir based on Professor Duckworth's Tutorials updated September 2006)

Xilinx ISE8.1 and Spartan-3 Tutorial (Prepared by Kahraman Akdemir based on Professor Duckworth's Tutorials updated September 2006) Xilinx ISE8.1 and Spartan-3 Tutorial (Prepared by Kahraman Akdemir based on Professor Duckworth's Tutorials updated September 2006) 1 Part1) Starting a new project Simple 3-to-8 Decoder Start the Xilinx

More information

Debugging Nios II Systems with the SignalTap II Logic Analyzer

Debugging Nios II Systems with the SignalTap II Logic Analyzer Debugging Nios II Systems with the SignalTap II Logic Analyzer May 2007, ver. 1.0 Application Note 446 Introduction As FPGA system designs become more sophisticated and system focused, with increasing

More information

ice40lm Barcode Emulation Solution Control Registers and Data Buffer

ice40lm Barcode Emulation Solution Control Registers and Data Buffer ice40lm Barcode Emulation Reference Design October 2013 General Description Reference Design RD1191 The ice40lm Barcode Emulation Reference Design allows any device with an LED to be able to transmit bar

More information

ispclock5620a Evaluation Board: isppac-clk5620a-ev1

ispclock5620a Evaluation Board: isppac-clk5620a-ev1 March 2007 Introduction Application Note AN6072 The ispclock 5620A In-System-Programmable Analog Circuit allows designers to implement clock distribution networks supporting multiple, synchronized output

More information

Estimating Nios Resource Usage & Performance

Estimating Nios Resource Usage & Performance Estimating Nios Resource Usage & Performance in Altera Devices September 2001, ver. 1.0 Application Note 178 Introduction The Excalibur Development Kit, featuring the Nios embedded processor, includes

More information

EVDK Based Speed Sign Detection Demonstration User Guide

EVDK Based Speed Sign Detection Demonstration User Guide EVDK Based Speed Sign Detection Demonstration FPGA-UG-02049 Version 1.1 September 2018 Contents Acronyms in This Document... 4 1. Introduction... 5 2. Functional Description... 6 3. Demo Setup... 8 3.1.

More information

MultiBoot and Fallback Using ICAP in UltraScale+ FPGAs

MultiBoot and Fallback Using ICAP in UltraScale+ FPGAs XAPP1296 (v1.0) June 23, 2017 Application Note: UltraScale+ FPGAs MultiBoot and Fallback Using ICAP in UltraScale+ FPGAs Author: Guruprasad Kempahonnaiah Summary This application note describes a key feature

More information