EDA Software Support. Introduction

Size: px
Start display at page:

Download "EDA Software Support. Introduction"

Transcription

1 January 1998, er. 7 Introduction Seamless design flow and high-quality integration of third party EDA tools are essential to the continued success of programmable logic deices (PLDs). Thus, Altera has established the Altera Commitment to Cooperatie Engineering Solutions (ACCESS SM ) program. Through the ACCESS program, Altera and key EDA endors jointly deelop and market next-generation high-leel design tools and methodologies. Altera proides EDA partners with critical technical information and assistance, and ACCESS partners dedicate resources to ensure the best quality design results for Altera architectures. The ACCESS program proides a total solution that allows designers to generate and erify multiple design reisions in a single day, greatly reducing the product deelopment cycle. Altera has been a leader in support of industry standards, such as EDIF, VHDL, Verilog HDL, VITAL, and the library of parameterized modules (LPM). Altera has also made a commitment to support current ACCESS partner design flows. f For more information on software support proided by Altera, see the MAX+PLUS II Programmable Logic Deelopment System & Software Data Sheet in this data book. This document identifies the type of product(s) aailable from each ACCESS partner, i.e., design entry, compilation/synthesis, and simulation/erification. These products either support Altera deices directly or proide an interface to the MAX+PLUS II deelopment software. In addition, the MAX+PLUS II software contains the necessary interfaces and libraries to support many EDA tools. Altera recommends contacting EDA software manufacturers directly for details on product features, specific deice support, and product aailability. Table 1 lists third-party tool support for Altera deices. f Go to the Altera world-wide web site ( for up-to-date information on: ACCESS partner support for Altera deices. MAX+PLUS II ACCESS Key Interface Guidelines for Cadence, Mentor Graphics, Synopsys, Viewlogic, and other EDA endors. Altera Corporation 719 A-GN-EDA-07

2 Table 1. Third-Party Tool Support for Altera Deices (Part 1 of 3) Company Design Entry Compilation/ Synthesis ACCEL Technologies, Inc. TEL: (619) FAX: (619) Accolade Design Automation, Inc. TEL: (425) FAX: (425) ACEO Technology TEL: (510) FAX: (510) ACUGEN Software, Inc. TEL: (603) FAX: (603) ALDEC, Inc. TEL: (805) FAX: (805) Cadence Design Systems, Inc. TEL: (408) FAX: (408) Exemplar Logic, Inc. TEL: (510) FAX: (510) Flynn Systems Corporation TEL: (603) FAX: (603) IK Technology Corporation, Ltd. (Japan) TEL: (81) FAX: (81) IKOS Systems, Inc. TEL: (408) FAX: (408) i-logix, Inc. TEL: (508) FAX: (508) Simulation/ Verification 720 Altera Corporation

3 Table 1. Third-Party Tool Support for Altera Deices (Part 2 of 3) Company Design Entry Compilation/ Synthesis ISDATA GmbH (Germany) TEL: (49) 721/ FAX: (49) 721/ Mentor Graphics Corporation TEL: (503) FAX: (503) MINC, Incorporated TEL: (719) FAX: (719) Model Technology, Inc. TEL: (503) FAX: (503) OrCAD, Inc. TEL: (503) FAX: (503) Sophia Systems and Technology TEL: (408) FAX: (408) Summit Design, Inc. TEL: (503) FAX: (503) Synario Design Automation TEL: (425) SYNARIO FAX: (425) Synopsys, Inc. TEL: (650) FAX: (650) Synplicity, Inc. TEL: (408) FAX: (408) Veda, Inc. TEL: (800) 600-VEDA FAX: (408) Simulation/ Verification Altera Corporation 721

4 Table 1. Third-Party Tool Support for Altera Deices (Part 3 of 3) Company Design Entry Compilation/ Synthesis VeriBest Incorporated TEL: (650) FAX: (650) Viewlogic Systems, Inc. TEL: (508) FAX: (508) Simulation/ Verification Interfaces The MAX+PLUS II deelopment software fully supports the following design enironments and proides interfaces to these tools: Cadence Logic Workbench and Design Framework II Mentor Graphics Falcon Framework Synopsys Viewlogic Poweriew and Workiew Office The features supported in the Cadence, Mentor Graphics, Viewlogic, and Synopsys interfaces with the MAX+PLUS II software are summarized in the sections below. Altera/Cadence Interface By combining Cadence design entry, synthesis, and erification tools (i.e., Logic Workbench and Design Framework II) with the MAX+PLUS II software, designs can be implemented in any Altera PLD. The Altera/Cadence interface supports a top-down or mixed-leel design methodology. Designs can be entered as a mixture of schematics, VHDL, and Verilog HDL. The Altera/Cadence interface supports the following features: Fully integrated design enironment Easy-to-use, top-down design enironment Schematic, Verilog HDL, or VHDL design entry EDIF netlist files that contain timing information for simulation LPM, ersion VITAL-compliant library RAM/ROM support Design description with architecture-independent design entry libraries Altera-proided gencklk utility, which generates simulation models deice selection, fitting, and multi-deice partitioning 722 Altera Corporation

5 Altera/Mentor Graphics Interface By combining Mentor Graphics design entry, synthesis, and erification tools (i.e., Falcon Framework) with the MAX+PLUS II software, designs can be implemented in any Altera PLD. The Altera/Mentor Graphics design flow supports a top-down or mixedleel design methodology. Designs can be entered as a mixture of schematics and VHDL. The Altera/Mentor Graphics interface supports the following features: Fully integrated design enironment Easy-to-use, top-down design enironment Schematic design entry Industry-standard behaioral design entry with VHDL (supporting both IEEE Std and ) and the Altera Hardware Description Language (AHDL), including syntax coloring and HDL templates in the MAX+PLUS II Text Editor VITAL-compliant library LPM, ersion RAM/ROM support Altera-proided gencklk utility, which generates simulation models for ClockLock and ClockBoost features Design description with architecture-independent design entry libraries deice selection, fitting, and multi-deice partitioning Altera/Synopsys Interface The Altera/Synopsys interface brings high-leel design methodology to high-density programmable logic. This interface contains Altera synthesis and simulation libraries, which describe logic functions that can be used to implement designs in Altera PLDs using FPGA or Design Compiler. The Altera/Synopsys interface supports the following features: Fully integrated design enironment VITAL-compliant library Industry-standard behaioral design entry with VHDL, Verilog HDL, and AHDL Synopsys timing constraints supported in the MAX+PLUS II Assignment & Configuration File (.acf) format RAM/ROM for the FLEX 10K family with the Altera-proided genmem utility, which generates timing and simulation models Altera Corporation 723

6 Altera-proided gencklk utility, which generates simulation models for ClockLock and ClockBoost features Different deice speed grades for FLEX 10K, FLEX 8000, and FLEX 6000 deices deice selection, fitting, and multi-deice partitioning Synopsys-proided Altera interfaces for FPGA Express Altera/Viewlogic Interface By combining Viewlogic design entry, synthesis, and erification tools (i.e., Poweriew and Workiew Office) with the MAX+PLUS II software, designs can be implemented in any Altera PLD. The Altera/Viewlogic design flow supports a top-down or mixed-leel design methodology. Designs can be entered as a mixture of schematics, VHDL, and AHDL. The Altera/Viewlogic interface supports the following features: Fully integrated design enironment Industry-standard behaioral design entry with VHDL and AHDL Easy-to-use, top-down design enironment Schematic design entry LPM, ersion RAM/ROM support Altera-proided gencklk utility, which generates simulation models Design description with architecture-independent design entry libraries deice selection, fitting, and multi-deice partitioning 724 Altera Corporation

7 Copyright 1995, 1996, 1997, 1998 Altera Corporation, 101 Innoation Drie, San Jose, CA 95134, USA, all rights resered. By accessing this information, you agree to be bound by the terms of Altera s Legal Notice.

Introduction to Megafunctions

Introduction to Megafunctions Introduction to Megafunctions January 1998, ver. 1 Overview With programmable logic device (PLD) densities reaching 250,000 gates, it is now possible to implement entire digital subsystems on a single

More information

Development Tools Selector Guide

Development Tools Selector Guide Development Tools Selector Guide July 1998 Introducing Altera Programmable Logic Development Tools Altera offers the fastest, most powerful, and most flexible programmable logic development software and

More information

Usable gates 600 1,250 2,500 5,000 10,000 Macrocells Logic array blocks Maximum user I/O

Usable gates 600 1,250 2,500 5,000 10,000 Macrocells Logic array blocks Maximum user I/O MAX 3000A Programmable Logic Device Family June 2006, ver. 3.5 Data Sheet Features... High performance, low cost CMOS EEPROM based programmable logic devices (PLDs) built on a MAX architecture (see Table

More information

Benefits of Embedded RAM in FLEX 10K Devices

Benefits of Embedded RAM in FLEX 10K Devices Benefits of Embedded RAM in FLEX 1K Devices January 1996, ver. 1 Product Information Bulletin 2 Introduction Driven by the demand to integrate many more digital functions in a single device, custom logic

More information

Evaluation of the RTL Synthesis Tools for FPGA/PLD Design. M.Matveev. Rice University. August 10, 2001

Evaluation of the RTL Synthesis Tools for FPGA/PLD Design. M.Matveev. Rice University. August 10, 2001 Evaluation of the RTL Synthesis Tools for FPGA/PLD Design M.Matveev Rice University August 10, 2001 Xilinx: Foundation ISE Design Entry: VHDL, Verilog, schematic, ABEL Synthesis: Xilinx XST, Synopsys FPGA

More information

MAX+PLUS II. Introduction. Programmable Logic Development System & Software

MAX+PLUS II. Introduction. Programmable Logic Development System & Software MAX+PLUS II Programmable Logic Development System & Software January 1998, ver. 8 Data Sheet Introduction Ideally, a programmable logic design environment satisfies a large variety of design requirements:

More information

Feature EPF10K30E EPF10K50E EPF10K50S

Feature EPF10K30E EPF10K50E EPF10K50S FLEX 10KE Embedded Programmable Logic Family August 1999, ver. 2.02 Data Sheet Features... Embedded programmable logic devices (PLDs), providing System-on-a-Programmable-Chip TM integration in a single

More information

Quartus. Introduction. Programmable Logic Development System & Software

Quartus. Introduction. Programmable Logic Development System & Software Quartus Programmable Logic Development System & Software May 1999, ver. 1.01 Data Sheet Introduction As device densities increase, design methodologies for programmable logic devices (PLDs) must continue

More information

SameFrame Pin-Out Design for FineLine BGA Packages

SameFrame Pin-Out Design for FineLine BGA Packages SameFrame Pin-Out Design for Packages June 1999, er. 1 Application Note 90 Introduction A key adantage of designing with programmable logic is the flexibility which allows designers to quickly modify or

More information

Advantages of ISP-Based PLDs over Traditional PLDs

Advantages of ISP-Based PLDs over Traditional PLDs Adantages of ISP-Based PLDs oer Traditional PLDs February 1998, er. 2 Product Information Bulletin 24 Introduction As time-to-market pressures increase, design engineers continually look for ways to adance

More information

VHDL. Chapter 1 Introduction to VHDL. Course Objectives Affected. Outline

VHDL. Chapter 1 Introduction to VHDL. Course Objectives Affected. Outline Chapter 1 Introduction to VHDL VHDL VHDL - Flaxer Eli Ch 1-1 Course Objectives Affected Write functionally correct and well-documented VHDL code, intended for either simulation or synthesis, of any combinational

More information

ADVANCE INFORMATION MACH4-32/MACH4LV-32

ADVANCE INFORMATION MACH4-32/MACH4LV-32 MACH 4 FAMILY COM L: -7/0//5 IND: -0//4/ MACH4-3/MACH4LV-3 High-Performance EE CMOS Programmable Logic DISTITIVE CHARACTERISTICS 44 pins in PLCC, 44 and 4 pins in TQFP 3 macrocells 7.5 ns t PD Commercial,

More information

Atmel FPGA Integrated Development System (IDS)

Atmel FPGA Integrated Development System (IDS) Contents Atmel FPGA Integrated Development System (IDS) contains the following items: IDS Installation Guide CD-ROM containing all necessary software and online documents Security block (for Viewlogic

More information

Design Tools for 100,000 Gate Programmable Logic Devices

Design Tools for 100,000 Gate Programmable Logic Devices esign Tools for 100,000 Gate Programmable Logic evices March 1996, ver. 1 Product Information Bulletin 22 Introduction The capacity of programmable logic devices (PLs) has risen dramatically to meet the

More information

Altera Programming Hardware

Altera Programming Hardware Altera Programming Hardware June 1996, er. 3 Data Sheet General Description Altera offers a ariety of hardware to program and configure Altera deices. For conentional deice programming, in-system programming,

More information

Introduction. Design Hierarchy. FPGA Compiler II BLIS & the Quartus II LogicLock Design Flow

Introduction. Design Hierarchy. FPGA Compiler II BLIS & the Quartus II LogicLock Design Flow FPGA Compiler II BLIS & the Quartus II LogicLock Design Flow February 2002, ver. 2.0 Application Note 171 Introduction To maximize the benefits of the LogicLock TM block-based design methodology in the

More information

Color Space Converter

Color Space Converter Color Space Converter MegaCore Function User Guide April 2001 Core Version 2.0.0 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 http://www.altera.com A-UG-CSCONVERTER-1.0 Color Space Converter

More information

Evolution of CAD Tools & Verilog HDL Definition

Evolution of CAD Tools & Verilog HDL Definition Evolution of CAD Tools & Verilog HDL Definition K.Sivasankaran Assistant Professor (Senior) VLSI Division School of Electronics Engineering VIT University Outline Evolution of CAD Different CAD Tools for

More information

Altera s 3.3-V ISP-Capable MAX 7000A Devices

Altera s 3.3-V ISP-Capable MAX 7000A Devices Newsletter for Altera ustomers First Quarter February 1998 Altera s 3.3-V ISP-apable MAX 7000A Deices In recent years, an increasing number of engineers hae moed their designs to a 3.3-V supply oltage

More information

ASIC Design Flow. P.Radhakrishnan, Senior ASIC-Core Development Engineer, Toshiba, 1060, Rincon Circle, San Jose, CA (USA) Jan 2000 (Issue-3)

ASIC Design Flow. P.Radhakrishnan, Senior ASIC-Core Development Engineer, Toshiba, 1060, Rincon Circle, San Jose, CA (USA) Jan 2000 (Issue-3) By P.Radhakrishnan, Senior ASIC-Core Development Engineer, Toshiba, 1060, Rincon Circle, San Jose, CA 95132 (USA) Jan 2000 (Issue-3) Contents Introduction... 3 Application Specific Integrated Circuits

More information

Atmel FPGA Integrated Development System (IDS)

Atmel FPGA Integrated Development System (IDS) Contents Atmel FPGA Integrated Development System (IDS) contains the following items: IDS Installation Guide CD-ROM containing all necessary software and online documents Features Support for Industry-standard

More information

Atmel-Synario CPLD/PLD Design Software ATDS1100PC ATDS1120PC ATDS1130PC ATDS1140PC. Features. Description

Atmel-Synario CPLD/PLD Design Software ATDS1100PC ATDS1120PC ATDS1130PC ATDS1140PC. Features. Description Features Comprehensive CPLD/PLD Design Environment User-friendly Microsoft Windows Interface (Win 95, Win 98, Win NT) Powerful Project Navigator Utilizes Intelligent Device Fitters for Automatic Logic

More information

Development Tools Selector Guide

Development Tools Selector Guide Development Tools Selector Guide June 2000 Introducing Altera Programmable Logic Development Tools Altera offers the fastest, most powerful, and most flexible programmable logic development software and

More information

Artisan Technology Group is your source for quality new and certified-used/pre-owned equipment

Artisan Technology Group is your source for quality new and certified-used/pre-owned equipment Artisan Technology Group is your source for quality new and certified-used/pre-owned equipment FAST SHIPPING AND DELIVERY TENS OF THOUSANDS OF IN-STOCK ITEMS EQUIPMENT DEMOS HUNDREDS OF MANUFACTURERS SUPPORTED

More information

ISE Design Suite Software Manuals and Help

ISE Design Suite Software Manuals and Help ISE Design Suite Software Manuals and Help These documents support the Xilinx ISE Design Suite. Click a document title on the left to view a document, or click a design step in the following figure to

More information

AL8253 Core Application Note

AL8253 Core Application Note AL8253 Core Application Note 6-15-2012 Table of Contents General Information... 3 Features... 3 Block Diagram... 3 Contents... 4 Behavioral... 4 Synthesizable... 4 Test Vectors... 4 Interface... 5 Implementation

More information

Lattice ispexpert. Design Solutions for the Universe of ISPTM. PLDs

Lattice ispexpert. Design Solutions for the Universe of ISPTM. PLDs Lattice TM Design Solutions for the Universe of ISPTM PLDs Compiler and Design Systems Introduction Lattice s compiler and design systems are Lattice s third-generation ISP design tools. They are new,

More information

FLEX Introduction. Programmable Logic Device Family. Preliminary Information. Table 1. FLEX 6000 Device Features

FLEX Introduction. Programmable Logic Device Family. Preliminary Information. Table 1. FLEX 6000 Device Features FLEX 6000 Programmable Logic Device Family June 1997, ver. 2 Data Sheet Introduction Preliminary Information With a primary focus on low cost, the Altera FLEX 6000 device family provides an ideal programmable

More information

About this User Guide

About this User Guide PCI MegaCore Function User Guide Noember 1998 About this User Guide Noember 1998 User Guide Contents This user guide describes the Altera pci_b and pcit1, including the specifications of the functions

More information

Using General-Purpose PLLs with Mercury Devices

Using General-Purpose PLLs with Mercury Devices Using General-Purpose PLLs with Mercury Deices February 2001, er. 1.0 Application Note 131 Introduction Preliminary Information Mercury TM deices hae ClockLock TM, ClockBoost TM, and adanced ClockShift

More information

AL8259 Core Application Note

AL8259 Core Application Note AL8259 Core Application Note 10-24-2012 Table of Contents General Information... 3 Features... 3 Block Diagram... 3 Contents... 4 A. Behavioral... 4 B. Synthesizable... 4 C. Test Vectors... 4 Interface...

More information

System Designer. Programmable SLI AT94K/AT94S Series. Features. Description

System Designer. Programmable SLI AT94K/AT94S Series. Features. Description Features Atmel s System Designer Contains the Following Items: CD-ROM Containing all Necessary Software and Online Documents Atmel s AVR Studio Atmel s Configurator Programming System (CPS) Co-verification,

More information

Faster FLEX 10K Devices

Faster FLEX 10K Devices Newsletter for Altera ustomers Fourth Quarter December 1997 Faster FLEX 10K Deices To meet the increasing performance requirements of system designers, Altera recently uneiled plans for the next generation

More information

design cycle involving simulation, synthesis

design cycle involving simulation, synthesis HDLPlanner : Design Development Environment for HDL-based FPGA Designs Abstract Rapid prototyping of designs using FPGAs requires HDL-based design entry which leverages upon highly parameterized components

More information

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi Digital System Design Lecture 2: Design Amir Masoud Gharehbaghi amgh@mehr.sharif.edu Table of Contents Design Methodologies Overview of IC Design Flow Hardware Description Languages Brief History of HDLs

More information

APEX Devices APEX 20KC. High-Density Embedded Programmable Logic Devices for System-Level Integration. Featuring. All-Layer Copper.

APEX Devices APEX 20KC. High-Density Embedded Programmable Logic Devices for System-Level Integration. Featuring. All-Layer Copper. APEX Devices High-Density Embedded Programmable Logic Devices for System-Level Integration APEX 0KC Featuring All-Layer Copper Interconnect July 00 APEX programmable logic devices provide the flexibility

More information

Using Verplex Conformal LEC for Formal Verification of Design Functionality

Using Verplex Conformal LEC for Formal Verification of Design Functionality Using Verplex Conformal LEC for Formal Verification of Design Functionality January 2003, ver. 1.0 Application Note 296 Introduction The Altera Quartus II software, version 2.2, easily interfaces with

More information

EE595. Part VIII Overall Concept on VHDL. EE 595 EDA / ASIC Design Lab

EE595. Part VIII Overall Concept on VHDL. EE 595 EDA / ASIC Design Lab EE595 Part VIII Overall Concept on VHDL VHDL is a Standard Language Standard in the electronic design community. VHDL will virtually guarantee that you will not have to throw away and re-capture design

More information

LeonardoSpectrum & Quartus II Design Methodology

LeonardoSpectrum & Quartus II Design Methodology LeonardoSpectrum & Quartus II Design Methodology September 2002, ver. 1.2 Application Note 225 Introduction As programmable logic device (PLD) designs become more complex and require increased performance,

More information

RTL Coding General Concepts

RTL Coding General Concepts RTL Coding General Concepts Typical Digital System 2 Components of a Digital System Printed circuit board (PCB) Embedded d software microprocessor microcontroller digital signal processor (DSP) ASIC Programmable

More information

ALTERA MEGAFUNCTION PARTNERS PROGRAM. Catalog

ALTERA MEGAFUNCTION PARTNERS PROGRAM. Catalog ALTERA MEGAFUNCTION PARTNERS PROGRAM Catalog About this Catalog May 1996 AMPP Catalog Contents This catalog proides an introduction to the Altera Megafunction Partners Program, a description of each AMPP

More information

System Designer. Programmable SLI AT94K/AT94S Series. Features. Description

System Designer. Programmable SLI AT94K/AT94S Series. Features. Description Features Atmel s System Designer Contains the Following Items: CD-ROM Containing all Necessary Software and Online Documents Atmel s AVR Studio Atmel s Configurator Programming System (CPS) Co-verification,

More information

Quartus II Prime Foundation

Quartus II Prime Foundation Quartus II Prime Foundation Course Description This course provides all theoretical and practical know-how to design programmable devices of ALTERA with Quartus Prime design software. The course combines

More information

CADENCE VERILOG SIMULATION GUIDE AND TUTORIAL

CADENCE VERILOG SIMULATION GUIDE AND TUTORIAL page 1 / 5 page 2 / 5 cadence verilog simulation guide pdf 6 Verilog HDL Quick Reference Guide 4.8 Logic Values Verilog uses a 4 value logic system for modeling. There are two additional unknown logic

More information

EE595. Part VII VHDL Synthesis Techniques and Recommendations. EE 595 EDA / ASIC Design Lab

EE595. Part VII VHDL Synthesis Techniques and Recommendations. EE 595 EDA / ASIC Design Lab EE595 Part VII VHDL Synthesis Techniques and Recommendations Introduction Synthesis is the translation process from an abstract description of a hardware device into an optimized technology specific gate

More information

DESIGN STRATEGIES & TOOLS UTILIZED

DESIGN STRATEGIES & TOOLS UTILIZED CHAPTER 7 DESIGN STRATEGIES & TOOLS UTILIZED 7-1. Field Programmable Gate Array The internal architecture of an FPGA consist of several uncommitted logic blocks in which the design is to be encoded. The

More information

Error Correction Code (ALTECC_ENCODER and ALTECC_DECODER) Megafunctions User Guide

Error Correction Code (ALTECC_ENCODER and ALTECC_DECODER) Megafunctions User Guide Error Correction Code (ALTECC_ENCODER and ALTECC_DECODER) Megafunctions User Guide 11 Innovation Drive San Jose, CA 95134 www.altera.com Software Version 8. Document Version: 2. Document Date: June 28

More information

January 1996, ver. 1 Functional Specification 1

January 1996, ver. 1 Functional Specification 1 FIR Filters January 1996, ver. 1 Functional Specification 1 Features High-speed operation: up to 105 million samples per second (MSPS) -, 16-, 24-, 32-, and 64-tap finite impulse response (FIR) filters

More information

FLEX 10KE Devices Meet the 66-MHz/64-Bit PCI Compliance Challenge

FLEX 10KE Devices Meet the 66-MHz/64-Bit PCI Compliance Challenge Newsletter for Altera Customers First Quarter February 1999 FLEX 10KE Deices Meet the 66-MHz/64-Bit PCI Compliance Challenge The Altera FLEX 10KE family meets the 66-MHz/64-bit peripheral component interconnect

More information

Intel Stratix 10 H-tile Hard IP for Ethernet Design Example User Guide

Intel Stratix 10 H-tile Hard IP for Ethernet Design Example User Guide Intel Stratix 10 H-tile Hard IP for Ethernet Design Example User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents

More information

Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs. Fall 2004

Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs. Fall 2004 Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs Fall 2004 Agenda FPGA design challenges Mentor Graphics comprehensive FPGA design solutions Unique tools address the full range

More information

Raphael: Embedded PLD Family for System-Level Integration

Raphael: Embedded PLD Family for System-Level Integration Newsletter for Altera Customers Third uarter August 1998 The new Raphael TM programmable logic deice (PLD) family, based on the reolutionary MultiCore TM architecture, meets system-leel design challenges

More information

1GbEth. Access Switch. 1GbEth. Workgroup Switch. 10MbEth. Figure 1: Enterprise LAN Topology Example

1GbEth. Access Switch. 1GbEth. Workgroup Switch. 10MbEth. Figure 1: Enterprise LAN Topology Example 1 Introduction Ethernet is available in different speeds (10 and 100Mbps) and provides connectivity to meet a wide range of needs and from desktop to switches. MorethanIP IP solutions provide a solution

More information

High-Speed SDR SDRAM Controller Core for Actel FPGAs. Introduction. Features. Product Brief Version 1.0 November 2002

High-Speed SDR SDRAM Controller Core for Actel FPGAs. Introduction. Features. Product Brief Version 1.0 November 2002 Introduction Complementing the high-speed communication solutions from MorethanIP, the High- Speed SDRAM Controller offers storage extension for memory critical applications. For example with packet-based

More information

Intel Quartus Prime Pro Edition

Intel Quartus Prime Pro Edition Intel Quartus Prime Pro Edition Version 18.1 Software and Device Support Release Notes Subscribe Latest document on the web: PDF HTML Contents Contents 1. Intel Quartus Prime Pro Edition Version 18.1 Software

More information

Phase-Locked Loops (ALTPLL) Megafunction User Guide

Phase-Locked Loops (ALTPLL) Megafunction User Guide Phase-Locked Loops (ALTPLL) Megafunction User Guide 101 Innoation Drie San Jose, CA 95134 www.altera.com Document Version: 7.0 Document Date: December 2008 Copyright 2008 Altera Corporation. All rights

More information

Intel Quartus Prime Pro Edition Software and Device Support Release Notes

Intel Quartus Prime Pro Edition Software and Device Support Release Notes Intel Quartus Prime Pro Edition Software and Device Support Release Notes Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. Version 18.0... 3 1.1. New Features and Enhancements...3

More information

FPGA Design Flow 1. All About FPGA

FPGA Design Flow 1. All About FPGA FPGA Design Flow 1 In this part of tutorial we are going to have a short intro on FPGA design flow. A simplified version of FPGA design flow is given in the flowing diagram. FPGA Design Flow 2 FPGA_Design_FLOW

More information

Contents. Appendix B HDL Entry Tutorial 2 Page 1 of 14

Contents. Appendix B HDL Entry Tutorial 2 Page 1 of 14 Appendix B HDL Entry Tutorial 2 Page 1 of 14 Contents Appendix B HDL Entry Tutorial 2...2 B.1 Getting Started...2 B.1.1 Preparing a Folder for the Project...2 B.1.2 Starting Quartus II...2 B.1.3 Creating

More information

Time-to-Market. Success. High Capacity. Integration. High Performance. Seamless

Time-to-Market. Success. High Capacity. Integration. High Performance. Seamless P R O G R A M M A B L E L O G I C S O L U T I O N S Time-to-Market Success High Performance High Capacity Seamless Integration Altera Delivers Programmable Logic Solutions Companies that can deliver new

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES DIGITAL DESIGN TECHNOLOGY & TECHNIQUES CAD for ASIC Design 1 INTEGRATED CIRCUITS (IC) An integrated circuit (IC) consists complex electronic circuitries and their interconnections. William Shockley et

More information

Overview of Digital Design Methodologies

Overview of Digital Design Methodologies Overview of Digital Design Methodologies ELEC 5402 Pavan Gunupudi Dept. of Electronics, Carleton University January 5, 2012 1 / 13 Introduction 2 / 13 Introduction Driving Areas: Smart phones, mobile devices,

More information

Using VCS with the Quartus II Software

Using VCS with the Quartus II Software Using VCS with the Quartus II Sotware December 2002, ver. 1.0 Application Note 239 Introduction As the design complexity o FPGAs continues to rise, veriication engineers are inding it increasingly diicult

More information

Philip Andrew Simpson. FPGA Design. Best Practices for Team-based Reuse. Second Edition

Philip Andrew Simpson. FPGA Design. Best Practices for Team-based Reuse. Second Edition FPGA Design Philip Andrew Simpson FPGA Design Best Practices for Team-based Reuse Second Edition Philip Andrew Simpson San Jose, CA, USA ISBN 978-3-319-17923-0 DOI 10.1007/978-3-319-17924-7 ISBN 978-3-319-17924-7

More information

Design Guidelines for Optimal Results in High-Density FPGAs

Design Guidelines for Optimal Results in High-Density FPGAs White Paper Introduction Design Guidelines for Optimal Results in High-Density FPGAs Today s FPGA applications are approaching the complexity and performance requirements of ASICs. In some cases, FPGAs

More information

Hardware Synthesis. References

Hardware Synthesis. References Hardware Synthesis MidiaReshadi CE Department Science and research branch of Islamic Azad University Email: ce.srbiau@gmail.com 1 References 2 1 Chapter 1 Digital Design Using VHDL and PLDs 3 Some Definitions

More information

UTOPIA Level 3 Slave. MegaCore Function UTOPIA3SL. User Guide September 2001

UTOPIA Level 3 Slave. MegaCore Function UTOPIA3SL. User Guide September 2001 UTOPIA Level 3 Slave MegaCore Function UTOPIA3SL User Guide September 21 11 Innovation Drive San Jose, CA 95134 (48) 544-7 http://www.altera.com A-UG-IPUTOPIA3SL-1.1 UTOPIA Level 3 Slave MegaCore Function

More information

VHDL VITAL. Simulation Guide

VHDL VITAL. Simulation Guide VHDL VITAL Simulation Guide Actel Corporation, Mountain View, CA 94043 2004 Actel Corporation. All rights reserved. Printed in the United States of America Part Number: 5579006-9/10.04 Release: October

More information

EE 4755 Digital Design Using Hardware Description Languages

EE 4755 Digital Design Using Hardware Description Languages EE 4755 Digital Design Using Hardware Description Languages Basic Information URL: http://www.ece.lsu.edu/v Offered by: David M. Koppelman, Room 345 ERAD Building 578-5482. koppel@ece.lsu.edu, http://www.ece.lsu.edu/koppel/koppel.html

More information

Introduction .acf genmem gencklk

Introduction .acf genmem gencklk Introduction Synopsys version 3.4 design tools and the Altera MAX+PLUS II development software together provide a complete and integrated programmable logic design environment for the Sun SPARCstation,

More information

Logic Optimization Techniques for Multiplexers

Logic Optimization Techniques for Multiplexers Logic Optimiation Techniques for Multiplexers Jennifer Stephenson, Applications Engineering Paul Metgen, Software Engineering Altera Corporation 1 Abstract To drive down the cost of today s highly complex

More information

Introduction to Verilog. Mitch Trope EECS 240 Spring 2004

Introduction to Verilog. Mitch Trope EECS 240 Spring 2004 Introduction to Verilog Mitch Trope mtrope@ittc.ku.edu EECS 240 Spring 2004 Overview What is Verilog? Verilog History Max+Plus II Schematic entry Verilog entry System Design Using Verilog: Sum of Products

More information

Tri-State Bus Implementation

Tri-State Bus Implementation Tri-State Bus Implementation Danny Mok Altera HK FAE (dmok@altera.com) Sample Code library ieee; use ieee.std_logic_1164.all; library lpm; use lpm.lpm_components.all; entity tri_bus is port (a,b : in std_logic_vector(7

More information

VHDL VITAL. Simulation Guide For Libero SoC v11.8

VHDL VITAL. Simulation Guide For Libero SoC v11.8 VHDL VITAL Simulation Guide For Libero SoC v11.8 VHDL VITAL Simulation Guide Table of Contents Introduction...................................................................... 3 Document Assumptions......................................................................

More information

Symbol Interleaver/ Deinterleaver

Symbol Interleaver/ Deinterleaver Symbol Interleaver/ Deinterleaver MegaCore Function User Guide 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 http://www.altera.com Core Version: 1.3.0 Document Version: 1.3.0 rev. 1 Document Date:

More information

Agenda. Presentation Team: Agenda: Pascal Bolzhauser, Key Developer, Lothar Linhard, VP Engineering,

Agenda. Presentation Team: Agenda: Pascal Bolzhauser, Key Developer, Lothar Linhard, VP Engineering, Welcome JAN 2009 Agenda Presentation Team: Pascal Bolzhauser, Key Developer, pascal@concept.de Lothar Linhard, VP Engineering, lothar427@concept.de Agenda: Company Overview Products: GateVision RTLVision

More information

CHAPTER 3 METHODOLOGY. 3.1 Analysis of the Conventional High Speed 8-bits x 8-bits Wallace Tree Multiplier

CHAPTER 3 METHODOLOGY. 3.1 Analysis of the Conventional High Speed 8-bits x 8-bits Wallace Tree Multiplier CHAPTER 3 METHODOLOGY 3.1 Analysis of the Conventional High Speed 8-bits x 8-bits Wallace Tree Multiplier The design analysis starts with the analysis of the elementary algorithm for multiplication by

More information

Cover TBD. intel Quartus prime Design software

Cover TBD. intel Quartus prime Design software Cover TBD intel Quartus prime Design software Fastest Path to Your Design The Intel Quartus Prime software is revolutionary in performance and productivity for FPGA, CPLD, and SoC designs, providing a

More information

Allegro Design Authoring

Allegro Design Authoring Create design intent with ease for simple to complex designs Systems companies looking to create new products at the lowest possible cost need a way to author their designs with ease in a shorter, more

More information

FPGA ADVANTAGE FOR HDL DESIGN

FPGA ADVANTAGE FOR HDL DESIGN FPGA ADVANTAGE FOR HDL DESIGN A STREAMLINED S OLUTION FOR FPGA DESIGN The FPGA Advantage Design Solution gives you smooth data transition from one step of your design process to the next. All steps are

More information

UTOPIA Level 3 Master MegaCore Function

UTOPIA Level 3 Master MegaCore Function UTOPIA Level 3 Master MegaCore Function UTOPIA3MS User Guide November 21 11 Innovation Drive San Jose, CA 95134 (48) 544-7 http://www.altera.com A-UG-IPUTOPIA3MS-1. UTOPIA Level 3 Master MegaCore Function

More information

Cover TBD. intel Quartus prime Design software

Cover TBD. intel Quartus prime Design software Cover TBD intel Quartus prime Design software Fastest Path to Your Design The Intel Quartus Prime software is revolutionary in performance and productivity for FPGA, CPLD, and SoC designs, providing a

More information

EE 4755 Digital Design Using Hardware Description Languages

EE 4755 Digital Design Using Hardware Description Languages EE 4755 Digital Design Using Hardware Description Languages Basic Information URL: http://www.ece.lsu.edu/v Offered by: David M. Koppelman, Room 3316R P. F. Taylor Hall 578-5482. koppel@ece.lsu.edu, http://www.ece.lsu.edu/koppel/koppel.html

More information

IBM. Client Configuration Guide. IBM Explorer for z/os. Version 3 Release 1 SC

IBM. Client Configuration Guide. IBM Explorer for z/os. Version 3 Release 1 SC IBM Explorer for z/os IBM Client Configuration Guide Version 3 Release 1 SC27-8435-01 IBM Explorer for z/os IBM Client Configuration Guide Version 3 Release 1 SC27-8435-01 Note Before using this information,

More information

Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial

Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial 1 Table of Contents Design Flow in Libero TM IDE v2.3 Step 1 - Design Creation 3 Step 2 - Design Verification

More information

Using the Xilinx CORE Generator in Foundation ISE 3.1i with ModelSim

Using the Xilinx CORE Generator in Foundation ISE 3.1i with ModelSim Using the Xilinx CORE Generator in Foundation ISE 3.1i with ModelSim Installing Foundation ISE, CORE Generator, and ModelSim Foundation ISE This section explains how to install the Xilinx Foundation ISE

More information

Altera Unveils FLEX 10KE Devices

Altera Unveils FLEX 10KE Devices Newsletter for Altera Customers Second Quarter May 1998 Altera Uneils FLEX 10KE Deices Altera recently uneiled enhanced ersions of FLEX 10K embedded programmable logic deices FLEX 10KE deices. Fabricated

More information

Gigabit Fibre Channel B_Port Controller Core. 1 Introduction. Product Brief V1.0- September Overview. Optimized for Actel LAN WAN

Gigabit Fibre Channel B_Port Controller Core. 1 Introduction. Product Brief V1.0- September Overview. Optimized for Actel LAN WAN 1 Introduction 1.1 Overview The Fibre Channel (FC) is logically a bi-directional point-to-point serial data channel, structured for high performance information transport. Physically, Fibre Channel is

More information

Intel Quartus Prime Pro Edition Software and Device Support Release Notes

Intel Quartus Prime Pro Edition Software and Device Support Release Notes Intel Quartus Prime Pro Edition Software and Device Support Release Notes Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Version 17.1... 3 1.1 New Features and Enhancements...3

More information

MAX+PLUS II Advanced Synthesis

MAX+PLUS II Advanced Synthesis MAX+PLUS II Advanced Synthesis User Guide 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 http://www.altera.com Document Version: 1.0 Document Date: April 2003 UG-MAX2SYN-1.0 Copyright MAX+PLUS

More information

Programmable Logic Training Courses

Programmable Logic Training Courses Programmable Logic Training Courses Course Information and Schedule March 1996 through December 1996 (Latest schedule is also available on web at http://www.xilinx.com) Who Should Attend a Training Class?

More information

Intel Quartus Prime Standard Edition Handbook Volume 3

Intel Quartus Prime Standard Edition Handbook Volume 3 Intel Quartus Prime Standard Edition Handbook Volume 3 Verification Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1.

More information

FPGAs Provide Reconfigurable DSP Solutions

FPGAs Provide Reconfigurable DSP Solutions FPGAs Provide Reconfigurable DSP Solutions Razak Mohammedali Product Marketing Engineer Altera Corporation DSP processors are widely used for implementing many DSP applications. Although DSP processors

More information

PG Certificate. VLSI Design & Verification (RTL using Verilog, FPGA Design Flow & Verification) (Live Project)

PG Certificate. VLSI Design & Verification (RTL using Verilog, FPGA Design Flow & Verification) (Live Project) PG Certificate in VLSI Design & Verification (RTL using Verilog, FPGA Design Flow & Verification) (Live Project) Certificates by National Skill Development Corporation (NSDC), Ministry of Skill Development

More information

POS-PHY Level 2 & 3 Compiler

POS-PHY Level 2 & 3 Compiler POS-PHY Level 2 & 3 Compiler User Guide 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com Core Version: 1.1.1 Document Version: 1.1.1 rev1 Document Date: July 2003 Copyright 2003 Altera

More information

Sine/Cosine Look-Up Table v4.2. Features. Functional Description. θ = THETA radians 2 2 OUTPUT_WIDTH-1 OUTPUT_WIDTH-1

Sine/Cosine Look-Up Table v4.2. Features. Functional Description. θ = THETA radians 2 2 OUTPUT_WIDTH-1 OUTPUT_WIDTH-1 November 1, 2002 Product Specification Xilinx Inc. 20 Logic Drive San Jose, CA 95124 Phone: +1 408-559-7778 Fax: +1 408-559-7114 URL: www.xilinx.com/ipcenter Support: support.xilinx.com Features Drop-in

More information

01-1 Electronic Design Automation (EDA) The use of software to automate electronic (digital and analog) design.

01-1 Electronic Design Automation (EDA) The use of software to automate electronic (digital and analog) design. 01-1 Electronic Design Automation (EDA) 01-1 Electronic Design Automation (EDA): (Short Definition) The use of software to automate electronic (digital and analog) design. Electronic Design Automation

More information

Altera Announces MAX Roadmap with 3.3-V, ISP-Capable Michelangelo Family

Altera Announces MAX Roadmap with 3.3-V, ISP-Capable Michelangelo Family Newsletter for Altera ustomers Second Quarter May 1997 Altera Announces MAX Roadmap with 3.3-V, ISP-apable Michelangelo Family Altera recently uneiled plans for the next-generation MAX programmable logic

More information

Ethernet Switch. WAN Gateway. Figure 1: Switched LAN Example

Ethernet Switch. WAN Gateway. Figure 1: Switched LAN Example 1 Introduction An Ethernet switch is used to interconnect a number of Ethernet LANs (Local Area Networks), forming a large Ethernet network. Different ports of the switch are connected to different LAN

More information