HSMC Ethernet Quad-PHY Daughter Board

Size: px
Start display at page:

Download "HSMC Ethernet Quad-PHY Daughter Board"

Transcription

1 Ethernet Quad-PHY Daughter Board 1

2 Table of Contents 1 INTRODUCTION FEATURES BOARD DESCRIPTION BLOCK DIAGRAM COMPONENTS LEDs MDIO Connector J MAC INTERFACE CLOCKING COMBINED MII/GMII MAC INTERFACE PORT RGMII MAC INTERFACE PORTS 1 TO SGMII MAC INTERFACE POWER-UP STRAP OPTIONS MDIO MANAGEMENT MAC INTERFACE OPTIONS RGMII INTEGRATED DELAY OPTIONS Receive Direction Transmit Direction HIGH SPEED MEZZANINE CARD () CONNECTOR CONNECTOR PINOUT TABLE PIN OUT DESCRIPTION PIN OUT FOR ALTERA STRATIX II GX PCIE BOARD PIN OUT FOR ALTERA CYCLONE-III STARTER KIT BOARD PIN OUT FOR ALTERA ARRIA-GX PCIE DEVELOPMENT BOARD REFERENCES CONTACT

3 List of Tables Table 1: LEDs... 8 Table 2: RJ45 LEDs... 8 Table 3: MDIO Connector J Table 4: Power-Up Strap Options...11 Table 5: MAC Interface Default Configurations (HWCFG)...12 Table 6: Possible MAC Interface Configurations (HWCFG)...13 Table 7: Connector Pin out...15 Table 8: Connector Pin out Description...18 Table 9: Interface Signals (Stratix II GX PCIe Board)...21 Table 10: Interface Signals (Cyclone-III Starter Kit Board)...23 Table 11: Interface Signals (Arria-GX PCIe Board)...25 List of Figures Figure 1: Quad-PHY Daughter Board... 4 Figure 2: Board Block Diagram... 6 Figure 3: Board Components... 7 Figure 4: Samtec ASP

4 1 Introduction The Nine Ways PhyworkX Quad-PHY Ethernet Development Kit provides an Ethernet PHY Daughter Board enabling triple-speed 10/100/1000 Ethernet copper connectivity using four standard RJ45 connectors. The board implements four independent Ethernet interfaces with several system interface options and can be used in single and multi-channel applications. The daughter board implements a High Speed Mezzanine Card () connector to the main board that implements parallel (GMII, RGMII) and serial interfaces (e.g SGMII) and provides the necessary 3.3V power supply. In combination with the Nine Ways/MorethanIP Ethernet Cores (e.g. MAC, Switch, IEEE 1588) the PHY daughter board can be used to quickly design, implement, prototype and test embedded Ethernet Telecom or Industrial / Military applications from 10 Mbps to Gigabit speeds. The board is optionally available with reference designs using a MAC, with support for IEEE1588, for precise time synchronization applications, or with a 4-port Switch application. The board can be used with any Altera (e.g. Arria GX, Stratix II GX, Cyclone III) or Nine Ways board that implements a connector. Figure 1: Quad-PHY Daughter Board 4

5 2 Features High Performance Marvell 88E /100/1000 Quad Ethernet PHY o o o o o o Four independent PHYs in one device Auto negotiation for automatic speed selection Automatic cable crossover configuration GMII, RGMII and SGMII Interfaces PHY Management Interface (MDIO/MDC) for configuration/status Virtual Cable Tester Feature 4x Standard Ethernet Copper RJ45 connector (10/100/1000 Base-T) Status LEDs for current speed, link and traffic indications 168pin High Speed Mezzanine Card () Connector to main board providing all parallel and serial interfaces Implements a combined MII/GMII or RGMII on one port (Port 0) Implements RGMII on the other 3 ports (Ports 1 to 3) Implements serial 1.25Gbps MII (SGMII) or 1000Base-X (GBIC) interfaces on all ports as an option allowing use of embedded SERDES technologies (e.g. ArriaGX or Stratix IIGX). Single 3.3V power supply from Connector 2.5V I/O interfaces (limited 3.3V support available upon request). Example Reference Designs available for several Altera Main boards upon request 5

6 3 Board Description 3.1 Block Diagram The Board implements the copper line interfaces using a 4x RJ45 array with integrated magnetics. The MAC interfaces are available at the connector using 2.5V LVCMOS signaling. The pin out allows for one port (port0) to use GMII or RGMII. The other 3 ports (1..3) provide the reduced GMII (RGMII). In addition, all serial interfaces (SGMII) using differential 1.25Gbps signaling (CML) are also available at the connector. The PHY defaults to parallel interface operation (GMII for port 0 and RGMII for ports 1-3) after power-up and can be configured through the management interface (MDIO) to use the serial interfaces as necessary. 3.3V from downconverter 3.3V -> 2.5V VCC_25 downconverter 3.3V -> 1.0V VCC_10 RJ45 (0) Connector 4x Serial (1.25 Gbps) Serial (SGMII) RJ45 (1) 1x MII/GMII 3x RGMII parallel interfaces Quad-PHY 88E1145 RJ45 (2) RJ45 (3) MDIO Connector (testpoints) (3x1) Oscillator 25 MHz LEDs RJ45 Array including Magnetics Figure 2: Board Block Diagram 6

7 3.2 Components Port 3 4x RJ45 (bottom) 1 MDIO RX TX Port 0 orange: gigabit green: fullduplex Figure 3: Board Components 7

8 3.2.1 LEDs Table 1: LEDs LED # Description 1 Port 0 RX traffic 2 Port 0 TX traffic 3 Port 1 RX traffic 4 Port 1 TX traffic 5 Port 2 RX traffic 6 Port 2 TX traffic 7 Port 3 RX traffic 8 Port 3 TX traffic In addition the RJ45 connector provides a green and orange LED on its front side individual per port. Table 2: RJ45 LEDs LED orange (l) green (r) Description Gigabit: Lit when link operates at Gigabit speed Duplex: Lit when the link operates in full duplex mode MDIO Connector J2 To allow for external access to the MDIO interface for testing purposes, if the MDIO is not used through the connector by the main board s FPGA application, the interface is available on the 3-pin connector J2. Table 3: MDIO Connector J2 Pin # Name Description 1 MDIO Serial data input/output 2 MDC Serial clock 3 GND ground Note: use this connector only when the MDIO/MDC are not driven from the main board 8

9 4 MAC Interface Clocking After power-up the PHY is configured to implement parallel MAC interfaces using 2.5V LVCMOS I/Os available at the connector. Due to pin restrictions at the connector, port 0 has the full GMII wired (allowing GMII and RGMII modes) and ports 1 to 3 have the reduced GMII (RGMII) wired to the connector. Additionally the serial differential signals of the PHY are available on the connector to allow implementation of SGMII, which can be enabled through the MDIO management. 4.1 Combined MII/GMII MAC Interface Port 0 The Port 0 MAC interface provides a standard MII/GMII parallel interface. The clocks are: The receive clock is always provided by the PHY (rxclk_0). The transmit clock is provided by the PHY only in 10/100 mode of operation (txclk_0). In Gigabit mode of operation the MAC must drive a 125MHz clock on gtxclk_0 to the PHY. The port can also be used in RGMII mode by configuring the PHY through MDIO accordingly. Then the clocking scheme changes to the following: The receive clock is always provided by the PHY (rxclk_0). The transmit clock is always provided by the MAC on gtxclk_0 to the PHY. 4.2 RGMII MAC Interface Ports 1 to 3 Ports 1 to 3 default to RGMII mode of operation. The clocks are: The receive clock is always provided by the PHY (rxclk_1/2/3). The transmit clock is always provided by the MAC on gtxclk_1/2/3 to the PHY. Note that the daughter board does not implement any clock trace delays, hence the PHY must be used in transmit RGMII-ID (integrated delay) mode applying delay to the tx clock input. The MAC RGMII receive interface must implement its DDR input sampling assuming clock edge is aligned with rx data, or use the PHY's receive RGMII-ID applying delay to its rx clock output. See the RGMII specifications [2], [3] or MorethanIP/Nine Ways RGMII converter core reference guide [1] for more details on timing and typical implementation examples. 4.3 SGMII MAC Interface The PHY can be programmed to implement the 1.25Gbps serial GMII (SGMII). Each port can be configured individually through the MDIO control interface and the serial interfaces are available in the dedicated bank1 of the connector. When SGMII mode is enabled the parallel interface of the corresponding port is no longer used and clocks are recovered from the data on the serial interfaces by the embedded SERDES. 9

10 5 Power-Up Strap Options When the device powers up it is configured to the following settings. It defines to use only the MDIO pins of port 0 to access all internal PHY devices. Each PHY has its own address. This MDIO bus is available on the connector. The MDIO addresses for the ports 0 to 3 are defined to be 0 to 3 respectively. The MAC interface modes are set to GMII (port0) and RGMII (ports 1-3). Auto negotiation is enabled for all ports Automatic cable crossover is enabled for all ports The optional 125MHz clock output of the PHY is enabled (dis_125=0) which is wired to the connector for convenience and can be used as necessary (not required). Interrupt output 0 is used for all interrupt indications (due to single MDIO interface) The interrupt output is configured for active high operation (a pull-down resistor is implemented on the daughter board). Refer to the Marvell 88E1145 datasheet for full detail. 10

11 Table 4: Power-Up Strap Options p0_config0 p1_config0 p2_config0 p3_config0 Pin Bit3 Bit2 Bit1 Bit0 Value Pin Note (P0_duplex) 0001 (p0_link1000) 0010 (p0_link100) 0011 (p0_10) PHY addresses 0..3 p0_config1 p1_config1 p2_config1 p3_config (p3_link10) 1011 (p2_link10) 1011 (p2_link10) 1011 (p2_link10) GMII RGMII RGMII RGMII p[3:0]_config2 aneg aneg aneg aneg 1111 (p3_link10) p[3:0]_config3 phy4=0 ena_xc=1 dis_fc=1 dis_slp= (p1_link100) p[3:0]_config4 0 0 sel_twsi=0 en_paus= (p0_link1000) gconfig0 dis_dte=1 50ohm=0 1MDIO=1 dis_125= (p2_link100) gconfig1 ledsolid=1 pwrup=1 forcesd=1 polhi= (p3_link100) identical for all 4 identical for all 4 identical for all 4 Note: The column "Value Pin" is informal, indicating the wiring to set the 4-bit value according to Table 34 of the Marvell 88E1145 datasheet. Leftmost bit is bit 3. 11

12 6 MDIO Management The PHY can be configured for several modes of operation through the 2-wire MDIO/MDC management interface. The device is configured to use the signals of Port 0 to communicate with all four internal PHYs. Each PHY has a different address on this MDIO interface, with port 0 being at address 0 and port 3 being at address MAC Interface Options To change the type of MAC interface (GMII, RGMII, SGMII) a vendor specific control register is available in each PHY (HWCFG configuration bits of register 27). The register can be programmed to enable the proper mode of operation for a port. After power-up the interfaces default to the following settings for each port (see also Table 4 page 11): Table 5: MAC Interface Default Configurations (HWCFG) Port HWCFG Configuration Port GMII to Copper Port RGMII to Copper Port RGMII to Copper Port RGMII to Copper The HWCFG column shows the hardware configuration settings available in the PHY MDIO register 27, bits 3:0. To change the mode of MAC interface operation the following procedure must be followed: read register 27 of the PHY replace bits 3:0 with the wanted HWCFG setting and keep all other bits unchanged. write register 27 of the PHY Issue a software reset to the PHY to apply the change, by reading register 0 (CONTROL) and writing it back with bit 15 (reset) set to 1. The following settings for HWCFG are possible and the required pins are available at the connector. The modes need according implementation of the MAC interfaces within the main board application. 12

13 Table 6: Possible MAC Interface Configurations (HWCFG) HWCFG (3:0) Configuration 1111 GMII to Copper RGMII to Copper. Only available for Port 0, as on the other ports the necessary interface pins are not available at the connector. Available for all ports SGMII with Clock with SGMII Auto-neg to copper. Available for Port 0 only as the differential clock is only available for port0 at the connector (sclk_p/n_0) SGMII without Clock with SGMII Auto-neg to copper. Available for all ports using the serial MAC interface pins of the connector (sin/sout) Base-X without Clock with 1000Base-X Auto-neg to copper (GBIC). Available for all ports using the serial MAC interface pins of the connector (sin/sout) Base-X without Clock without 1000Base-X Auto-neg to copper Available for all ports using the serial MAC interface pins of the connector (sin/sout). 6.2 RGMII Integrated Delay Options For operation of RGMII, the RGMII standard ([2], [3]) defines that the clock edge is located inside the valid data window at the DDR input of any device. This can be achieved by either implementing a board trace delay of ~2ns which delays the clocks with respect to the data, or by integrating the delay into the devices (RGMII-ID) allowing for equal trace length board layouts. The Quad-PHY daughter board does not implement board trace delays. All traces have equal length. 13

14 6.2.1 Receive Direction The FPGA can accept clock edge aligned input data by adding pin-delay to the data inputs ensuring proper DDR sampling. This can be achieved by applying negative hold-time constraints (e.g. -1.5ns) to the data input pins of the FPGA. The reference designs use this method. If the pin-delay should not be used, alternatively the PHY can be instructed to add delay to its clock output pin by setting bit 7 of the MDIO register 20. To do so, the following procedure should be followed: read register 20 set bit 7 to enable rx clock pin output delay preserving all other bits write register 20 Issue a software reset to the PHY to apply the change, by reading register 0 (CONTROL) and writing it back with bit 15 (reset) set to Transmit Direction A typical DDR output implementation supporting multiple speeds in RGMII will provide the clock edge aligned with the data edge. However, as the board does not implement a board trace delay, the clock needs to be shifted by 2ns to ensure proper timing at the PHY device inputs. This can be done by either implementing a corresponding shift in the FPGA, or by setting the integrated delay transmit option (bit 1) within the MDIO register 20 of the PHY. To set the transmit delay option; the following procedure should be followed. read register 20 set bit 1 to enable tx clock pin input delay preserving all other bits write register 20 Issue a software reset to the PHY to apply the change, by reading register 0 (CONTROL) and writing it back with bit 15 (reset) set to 1. All reference designs use the transmit integrated delay setting within the PHY. 14

15 7 High Speed Mezzanine Card () Connector The connector used in applications is a custom version of the 0.5mm-pitch QTH-DP and mating QSH-DP series from Samtec, Inc. There are three banks in this connector. Bank 1 will have every third pin removed as is done in the QSH-DP/QTH-DP series. Bank 2 and Bank 3 have all of the pins populated as done in the QSH/QTH series. The default mating connector is the ASP The ASP connector can plug directly into hosts with QSH L-D-DP or QSH L-D connectors with the DP version having slightly better signal integrity. Figure 4: Samtec ASP Connector Pinout Table Table 4 shows for every pin of the connector on the board and the corresponding PHY signal. The signal suffix _0.._3 indicates the port number. Unused pins are left blank. Table 7: Connector Pin out Pin Function Bank No Function sin_p_3 sout_p_ sin_n_3 sout_n_ sin_p_2 sout_p_ sin_n_2 sout_n_2 24 BANK 1 25 sin_p_1 sout_p_ sin_n_1 sout_n_ sin_p_0 sout_p_0 30 Pin 15

16 31 sin_n_0 sout_n_ MDIO_0 MDC_ gtxclk_0 rxclk_ txer_0 rxint_ txen_0 txclk_ ,3 V 12 V txd[7]_0 rxcol_ txd[6]_0 rxcrs_ ,3 V 12 V txd[5]_0 rxerr_ txd[4]_0 rxdv_ ,3 V 12 V txd[3]_0 rxd[7]_ txd[2]_0 rxd[6]_ ,3 V 12 V txd[1]_0 rxd[5]_ txd[0]_0 rxd[4]_ ,3 V 12 V rxd[3]_ BANK 2 rxd[2]_ ,3 V 12 V rxd[1]_ reset_n rxd[0]_ ,3 V 12 V led1000_ led1000_1 rxint_ ,3 V 12 V led1000_2 rxint_ led1000_3 rxint_ ,3 V 12 V sclk_p_ sclk_n_ ,3 V 12 V gtxclk_1 rxclk_ txen_1 rxdv_ ,3 V 12 V txd[3]_1 rxd[3]_ txd[2]_1 rxd[2]_ ,3 V 12 V txd[1]_1 rxd[1]_ txd[0]_1 rxd[0]_ ,3 V BANK 3 12 V gtxclk_2 rxclk_ txen_2 rxdv_ ,3 V 12 V txd[3]_2 rxd[3]_ txd[2]_2 rxd[2]_

17 129 3,3 V 12 V txd[1]_2 rxd[1]_ txd[0]_2 rxd[0]_ ,3 V 12 V gtxclk_3 rxclk_ txen_3 rxdv_ ,3 V 12 V txd[3]_3 rxd[3]_ txd[2]_3 rxd[2]_ ,3 V 12 V txd[1]_3 rxd[1]_ txd[0]_3 rxd[0]_ ,3 V 12 V clk ,3 V PSNTn (gnd) 160 Notes: Port 0 has a complete MII/GMII wired to the connector. Can be used in MII/GMII or RGMII mode and it defaults to MII/GMII Ports 1..3 can only be used in RGMII mode SGMII clocks for ports 1-3 (sclk_p/n_[1..3]) are not available. Only port 0 may be used in SGMII with clock (non-cdr) mode, the others can be used only in SGMII without clock mode, using CDR. PSNTn is wired to GND on the daughter board (presence detect). Only the 3.3V power pins are used. The 12V pins are left unconnected. 17

18 7.2 Pin out description The following table describes the pin functions. The suffix _0/_1/_2/_3 corresponds to the port number 0 to 3 respectively. Table 8: Connector Pin out Description Function/Name Direction (at PHY) Description reset_n input Hardware reset when driven low (0). Must be 1 during normal operation. Use of the dedicated reset is not required as the power-on reset should be sufficient. Can be used as necessary. Serial MAC Interfaces (SGMII) sin_p/n _0/1/2/3 input Serial MAC interface transmit input. When SGMII mode is active this signal pair provides the transmit data. Should be left unconnected (floating) if not used. Available for all 4 ports. sout_p/n _0/1/2/3 output Serial MAC interface receive output. When SGMII mode is active this signal pair provides the receive data. Should be left unconnected (floating) if not used. Available for all 4 ports. sclk_p/n _0 output Serial MAC interface receive clock output. Is used when SGMII mode with clock is enabled for port0. It provides a 625MHz synchronous clock for sin_p/n_0. Should be left unconnected (floating) if not used. Available for port 0 only MDIO Management mdc in Management clock input. The device supports up to 8MHz (standard is 2.5 MHz). Note: only one MDIO interface is available and all internal PHY devices communicate through this single interface using different MDIO addresses. mdio inout Management data input/output 18

19 GMII Parallel MAC Interface Port 0 rxclk_0 out 2.5/25/125 MHz receive clock from PHY depending on the link speed. txclk_0 out 2.5/25 MHz transmit clock from PHY used for 10/100 Mbps operation Used in GMII/MII mode only. Unused in RGMII mode. gtxclk_0 in In GMII mode a 125MHz transmit clock to the PHY used for 1Gbps operation In RGMII mode, the MAC must provide a 2.5/25/125MHz clock depending on the link speed. rxcol_0 out Receive collision indication from PHY. Used in half-duplex only. rxcrs_0 out Receive carrier sense indication from PHY. Used in halfduplex only. rxd[7:0]_0 out Receive data. Bits 3:0 are used in 10/100 (MII) Bits 7:0 are used in Gigabit (GMII) rxdv_0 out receive data valid from PHY rxer_0 out receive error indication from PHY txd[7:0]_0 in Transmit data to PHY. Bits 3:0 are used in 10/100 (MII) Bits 7:0 are used in Gigabit (GMII) txer_0 in transmit error indication to PHY txen_0 in transmit enable to PHY RGMII Parallel MAC Interface Ports 1 to 3 rxclk_1/2/3 out 2.5/25/125MHz receive clock from PHY rxdv_1/2/3 out receive control from PHY (DDR) (combined crs/dv) rxd[3:0] _1/2/3 out receive data from PHY (DDR) gtxclk_1/2/3 in 2.5/25/125MHz transmit clock to PHY txen_1/2/3 in transmit control to PHY (DDR) (combined en/err) txd[3:0]_1/2/3 in transmit data to PHY (DDR) 19

20 Status / Interrupt led1000 _0/1/2/3 out Active low indication when the link operates at Gigabit speed. rxint_0 out Interrupt (active high) from PHY. Note all ports use this port 0 interrupt pin (due to single MDIO management interface mode). rxint_1/2/3 out Unused, all PHYs share the interrupt of port 0. PSTNn -- Pin wired to GND on the daughter board. Used as presence detect by the main board. clk125 out A 125MHz reference clock provided by the PHY. It is available for convenience and can be used for arbitrary purposes or left unconnected. 20

21 8 Pin out for Altera Stratix II GX PCIe Board The following table shows the pin out for the -A and -B connectors available on the Stratix-II GX PCIe development board. Note that the -B serial interfaces (sin/sout) are available only when the board is equipped with a 2SGX130 device. -A is fully populated for 2SGX90 and 2SGX130 variants. Table 9: Interface Signals (Stratix II GX PCIe Board) A B Pin Function Bank No Function Pin B 1 Signal Signal 2 3 Signal Signal 4 5 Signal Signal 6 7 Signal Signal 8 9 Signal Signal Signal Signal Signal Signal Signal Signal 16 G4 AW6 17 sin_p_3 (tx) sout_p_3 (rx) 18 AW3 G1 G5 AW7 19 sin_n_3 sout_n_3 20 AW4 G2 E4 AU4 21 sin_p_2 sout_p_2 22 AU1 E1 E5 AU5 23 sin_n_2 sout_n_2 24 AU2 E2 BANK A6 AN4 25 sin_p_1 1 sout_p_1 26 AN1 A3 A7 AN5 27 sin_n_1 sout_n_1 28 AN2 A4 C4 AR4 29 sin_p_0 sout_p_0 30 AR1 C1 C5 AR5 31 sin_n_0 sout_n_0 32 AR2 C2 F38 AD34 33 MDIO_0 MDC_0 34 AG30 H36 35 FPGA_3V3_JTAG_TCK FPGA_3V3_JTAG_TMS HMSC_3V3_JTAG_TDO FPGA_3V3_JTAG_TDO 38 G22 AN22 39 gtxclk_0 rxclk_0 40 W37 V37 D22 AR22 41 txer_0 rxint_0 42 AT22 F22 A22 AT21 43 txen_0 txclk_0 44 AP22 B ,3 V 12 V 46 G33 AA33 47 txd[7]_0 rxcol_0 48 AE37 J39 G32 AB33 49 txd[6]_0 rxcrs_0 50 AE36 J ,3 V 12 V 52 J32 Y27 53 txd[5]_0 rxerr_0 54 AE39 K38 J31 AA26 55 txd[4]_0 rxdv_0 56 AE38 K ,3 V 12 V 58 K32 AA27 59 txd[3]_0 rxd[7]_0 60 AF39 L37 K31 AB27 61 txd[2]_0 rxd[6]_0 62 AG39 L ,3 V 12 V 64 K30 AD33 65 txd[1]_0 rxd[5]_0 66 AG38 M37 L31 AE33 67 txd[0]_0 rxd[4]_0 68 AG37 M ,3 V 12 V 70 M32 AB rxd[3]_0 72 AH39 N38 A 21

22 M31 AB rxd[2]_0 74 AH38 N ,3 V BANK 12 V 76 N32 AB rxd[1]_0 78 AJ39 P37 N31 AC25 79 reset_n rxd[0]_0 80 AK39 P ,3 V 12 V 82 P30 AD26 83 led1000_ AK38 R35 R31 AD25 85 led1000_1 rxint_3 86 AK37 R ,3 V 12 V 88 R30 AE27 89 led1000_2 rxint_2 90 AN39 T38 T29 AE26 91 led1000_3 rxint_1 92 AM39 T ,3 V 12 V 94 W32(p) AM sclk_p_0 96 W39 C39(p) Y31(n) AM sclk_n_0 98 W38 C38(n) 99 3,3 V 12 V 100 N27 Y gtxclk_1 rxclk_1 102 AE35 U37 P28 Y txen_1 rxdv_1 104 AE34 U ,3 V 12 V 106 K34 AA txd[3]_1 rxd[3]_1 108 AF37 N36 K33 AA txd[2]_1 rxd[2]_1 110 AF36 N ,3 V 12 V 112 L34 AB txd[1]_1 rxd[1]_1 114 AG36 K39 L33 AB txd[0]_1 rxd[0]_1 116 AG35 L ,3 V 12 V 118 P27 AC gtxclk_2 rxclk_2 120 AH37 R37 R27 AC txen_2 rxdv_2 122 AH36 R ,3 V 12 V 124 N34 AD txd[3]_2 rxd[3]_2 126 AJ37 M39 N33 AD txd[2]_2 rxd[2]_2 128 AJ36 M ,3 V 12 V 130 P34 AC txd[1]_2 rxd[1]_2 132 AK36 N39 P33 AD txd[0]_2 BANK rxd[0]_ AK35 P ,3 V 12 V 136 R33 AB gtxclk_3 rxclk_3 138 AL39 T35 R32 AC txen_3 rxdv_3 140 AL38 T ,3 V 12 V 142 T33 AD txd[3]_3 rxd[3]_3 144 AP39 R39 T32 AE txd[2]_3 rxd[2]_3 146 AP38 R ,3 V 12 V 148 U34 Y txd[1]_3 rxd[1]_3 150 AR39 U39 U33 AA txd[0]_3 rxd[0]_3 152 AT39 T ,3 V 12 V 154 T31(p) AE clk AU39 V39(p) T30(n) AE AU38 V38(n) 159 3,3 V PSNTn (gnd)

23 9 Pin out for Altera Cyclone-III Starter Kit Board The following table shows the pin out for the connectors available on the Cyclone-III Starter Kit development board. The serial interfaces (sin/sout) are not available with this development board. Table 10: Interface Signals (Cyclone-III Starter Kit Board) FPGA Pin Pin Function Bank No Function Pin FPGA Pin sin_p_3 (tx) sout_p_3 (rx) sin_n_3 sout_n_ sin_p_2 sout_p_ sin_n_2 sout_n_2 24 BANK 25 sin_p_1 1 sout_p_ sin_n_1 sout_n_ sin_p_0 sout_p_ sin_n_0 sout_n_0 32 E1 33 MDIO_0 MDC_0 34 F3 35 FPGA_3V3_JTAG_TCK FPGA_3V3_JTAG_TMS HMSC_3V3_JTAG_TDO FPGA_3V3_JTAG_TDO 38 A1 39 gtxclk_0 rxclk_0 40 A9 H6 41 txer_0, 3.3V rxint_0 42 D3 M5 43 txen_0, 3.3V txclk_0 44 L6 45 3,3 V 12 V 46 T1 47 txd[7]_0 rxcol_0 48 M3 N7 49 txd[6]_0 rxcrs_0 50 T2 51 3,3 V 12 V 52 N8 53 txd[5]_0 rxerr_0 54 H15 J13 55 txd[4]_0 rxdv_0 56 H ,3 V 12 V 58 N10 59 txd[3]_0 rxd[7]_0 60 N16 N11 61 txd[2]_0 rxd[6]_0 62 N ,3 V 12 V 64 K17 65 txd[1]_0 rxd[5]_0 66 R16 P11 67 txd[0]_0 rxd[4]_0 68 T ,3 V 12 V 70 B rxd[3]_0 72 C2 B BANK rxd[2]_0 74 C1 75 3,3 V 2 12 V 76 23

24 G rxd[1]_0 78 H2 G1 79 reset_n rxd[0]_0 80 H1 81 3,3 V 12 V 82 K2 83 led1000_ K5 K1 85 led1000_1 rxint_3 86 L5 87 3,3 V 12 V 88 L2 89 led1000_2 rxint_2 90 L4 L1 91 led1000_3 rxint_1 92 L3 93 3,3 V 12 V 94 D sclk_p_0 96 F17 C sclk_n_0 98 F ,3 V 12 V 100 M2 101 gtxclk_1 rxclk_1 102 P2 M1 103 txen_1 rxdv_1 104 P ,3 V 12 V 106 R2 107 txd[3]_1 rxd[3]_1 108 T3 R1 109 txd[2]_1 rxd[2]_1 110 R ,3 V 12 V 112 E txd[1]_1 rxd[1]_1 114 G17 E txd[0]_1 rxd[0]_1 116 G ,3 V 12 V 118 H gtxclk_2 rxclk_2 120 K18 H txen_2 rxdv_2 122 L ,3 V 12 V 124 L txd[3]_2 rxd[3]_2 126 L16 M txd[2]_2 rxd[2]_2 128 M ,3 V 12 V 130 L txd[1]_2 rxd[1]_2 132 L13 L txd[0]_2 BANK rxd[0]_ M ,3 V 12 V 136 P gtxclk_3 rxclk_3 138 R17 P txen_3 rxdv_3 140 R ,3 V 12 V 142 R5 143 txd[3]_3 rxd[3]_3 144 M6 R4 145 txd[2]_3 rxd[2]_3 146 N ,3 V 12 V 148 T txd[1]_3 rxd[1]_3 150 M13 T txd[0]_3 rxd[0]_3 152 N ,3 V 12 V 154 U clk N17 V N ,3 V PSNTn (gnd)

25 10 Pin out for Altera Arria-GX PCIe Development Board The following table shows the pin out for the connectors available on the Arria-GX PCIe Development Board. Table 11: Interface Signals (Arria-GX PCIe Board) FPGA Pin Pin Function Bank No Function Pin FPGA Pin N4 17 sin_p_3 (tx) sout_p_3 (rx) 18 N1 N5 19 sin_n_3 sout_n_3 20 N2 L4 21 sin_p_2 sout_p_2 22 L1 L5 23 sin_n_2 sout_n_2 24 L2 BANK C4 25 sin_p_1 1 sout_p_1 26 E1 C5 27 sin_n_1 sout_n_1 28 E2 E4 29 sin_p_0 sout_p_0 30 G1 C5 31 sin_n_0 sout_n_0 32 G2 A20 33 MDIO_0 MDC_0* 34 A18 35 FPGA_3V3_JTAG_TCK FPGA_3V3_JTAG_TMS HMSC_3V3_JTAG_TDO FPGA_3V3_JTAG_TDO 38 A15 39 gtxclk_0* rxclk_0 40 T25 A25 41 txer_0* rxint_0 42 A26 A23 43 txen_0* txclk_0 44 A ,3 V 12 V 46 F24 47 txd[7]_0 rxcol_0 48 C28 F23 49 txd[6]_0 rxcrs_0 50 C ,3 V 12 V 52 E26 53 txd[5]_0 rxerr_0 54 D28 E25 55 txd[4]_0 rxdv_0 56 D ,3 V 12 V 58 G24 59 txd[3]_0 rxd[7]_0 60 E28 G23 61 txd[2]_0 rxd[6]_0 62 F ,3 V 12 V 64 H23 65 txd[1]_0 rxd[5]_0 66 F27 H22 67 txd[0]_0 rxd[4]_0 68 F ,3 V 12 V 70 K rxd[3]_0 72 G28 J BANK rxd[2]_0 74 G ,3 V 2 12 V 76 G rxd[1]_0 78 H28 G25 79 reset_n rxd[0]_0 80 J28 25

26 81 3,3 V 12 V 82 H26 83 led1000_ L28 H25 85 led1000_1 rxint_3 86 M ,3 V 12 V 88 J25 89 led1000_2 rxint_2 90 N28 J24 91 led1000_3 rxint_1 92 P ,3 V 12 V 94 AC sclk_p_0 96 U26 AC sclk_n_0 98 U ,3 V 12 V 100 K gtxclk_1 rxclk_1 102 R28 K txen_1 rxdv_1 104 T ,3 V 12 V 106 M txd[3]_1 rxd[3]_1 108 V28 M txd[2]_1 rxd[2]_1 110 W ,3 V 12 V 112 P txd[1]_1 rxd[1]_1 114 Y28 P txd[0]_1 rxd[0]_1 116 AA ,3 V 12 V 118 Y gtxclk_2 rxclk_2 120 Y27 Y txen_2 rxdv_2 122 Y ,3 V 12 V 124 AA txd[3]_2 rxd[3]_2 126 AB28 AA txd[2]_2 rxd[2]_2 128 AB ,3 V 12 V 130 AA txd[1]_2 rxd[1]_2 132 AC28 AA txd[0]_2 BANK rxd[0]_ AD ,3 V 12 V 136 AB gtxclk_3 rxclk_3 138 AC27 AB txen_3 rxdv_3 140 AC ,3 V 12 V 142 AB txd[3]_3 rxd[3]_3 144 AE28 AB txd[2]_3 rxd[2]_3 146 AE ,3 V 12 V 148 AC txd[1]_3 rxd[1]_3 150 AF28 AC txd[0]_3 rxd[0]_3 152 AF ,3 V 12 V 154 AE clk R26 AE R ,3 V PSNTn (gnd)

27 ArriaGX PCIe Board specific notes: The pins mdc, p0gtxclk, p0txen, p0txer (*) are wired to 3.3V banks. Set the voltage levels to 3.3V for these outputs. All other outputs must be set to 2.5V. Set the following current strength on the outputs: o o 4mA for p1gtxclk, p2gtxclk, p3gtxclk 12mA for all others (Setting the RGMII output clocks with a lower current strength delays these pins with respect to the data pins which is desired to fulfill the RGMII specifications) General note for ArriaGX: When using input clocks only to feed PLL inputs, no logic, you must set the global signal/global clock attribute within Quartus for these inputs. Otherwise it has been seen that the PLLs do not lock properly. The.qsf should contain: set_instance_assignment -name GLOBAL_SIGNAL "GLOBAL CLOCK" -to clk1_p 27

28 11 References [1] MorethanIP/Nine Ways RGMII Converter Core [2] Reduced Gigabit Media Independent Interface (RGMII), 12/10/2000 Version 1.3 [3] Reduced Gigabit Media Independent Interface (RGMII), 4/1/2002 Version

29 12 Contact MorethanIP GmbH info@morethanip.com Internet : Europe Muenchner Str. 199 D Karlsfeld Germany Tel : +49 (0) FAX : +49 (0) `Nine Ways Research & Development Ltd Internet UK : pbates@nineways.co.uk : Unit G.15, idcentre, Lathkill House, rtc Business Park London Road, Derby. DE24 8UP United Kingdom Tel : +44 (0) FAX : +44 (0)

HSMC Ethernet 2-Port 1588 Precision Timing

HSMC Ethernet 2-Port 1588 Precision Timing Ethernet 2-Port 1588 Precision Timing PHY Daughter Board 1 Table of Contents 1 INTRODUCTION... 4 2 FEATURES... 5 3 BOARD DESCRIPTION... 6 3.1 BLOCK DIAGRAM... 6 3.2 BOARD COMPONENTS... 7 3.2.1 LEDs...

More information

PHYWORX. 10/100/1000 Ethernet PHY Daughter Board. Reference Guide

PHYWORX. 10/100/1000 Ethernet PHY Daughter Board. Reference Guide PHYWORX 10/100/1000 Ethernet PHY Daughter Board 1 CONTENTS 1 INTRODUCTION...4 2 FEATURES...5 3 BOARD COPONENTS DESCRIPTION...6 3.1 PINS AND SIGNALS...6 4 FPGA PINOUTS FOR SELECTED AIN-BOARDS...9 5 DIO

More information

KSZ9031RNX-EVAL Board User s Guide. Micrel, Inc All rights reserved

KSZ9031RNX-EVAL Board User s Guide. Micrel, Inc All rights reserved KSZ9031RNX Gigabit Ethernet Transceiver with RGMII Support KSZ9031RNX-EVAL Board User s Guide Revision 1.0 / June 2012 Micrel, Inc. 2012 All rights reserved Micrel is a registered trademark of Micrel and

More information

Alaska 88E1510/88E1518/88E1512/ 88E1514 Datasheet - Public

Alaska 88E1510/88E1518/88E1512/ 88E1514 Datasheet - Public Cover Alaska 88E1510/88E1518/88E1512/ 88E1514 Datasheet - Public Integrated 10/100/1000 Mbps Energy Efficient Ethernet Transceiver January 4, 2018 Marvell. Moving Forward Faster Document Classification:

More information

Intel Stratix 10 SoC Device Design Guidelines

Intel Stratix 10 SoC Device Design Guidelines Intel Stratix 10 SoC Device Design Guidelines Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. Introduction to the...4

More information

REV CHANGE DESCRIPTION NAME DATE. A Release

REV CHANGE DESCRIPTION NAME DATE. A Release REV CHANGE DESCRIPTION NAME DATE A Release 11-07-12 Any assistance, services, comments, information, or suggestions provided by SMSC (including without limitation any comments to the effect that the Company

More information

EVB8720 Evaluation Board User Manual

EVB8720 Evaluation Board User Manual Copyright 2011 SMSC or its subsidiaries. All rights reserved. Circuit diagrams and other information relating to SMSC products are included as a means of illustrating typical applications. Consequently,

More information

KSZ8081RNB / KSZ8091RNB

KSZ8081RNB / KSZ8091RNB KSZ8081RNB / KSZ8091RNB 10Base-T/100Base-TX Physical Layer Transceiver Evaluation Board User s Guide Revision 1.0 / August 2012 Micrel, Inc. 2012 All rights reserved Micrel is a registered trademark of

More information

HSMC-NET. Terasic HSMC-NET Daughter Board. User Manual

HSMC-NET. Terasic HSMC-NET Daughter Board. User Manual HSMC-NET Terasic HSMC-NET Daughter Board User Manual CONTENTS Chapter 1 Introduction... 2 1.1 Features... 2 1.2 About the KIT... 3 1.3 Assemble the HSMC-NET Board... 4 1.4 Getting Help... 5 Chapter 2 Architecture...

More information

KSZ8081MNX / KSZ8091MNX

KSZ8081MNX / KSZ8091MNX KSZ8081MNX / KSZ8091MNX 10Base-T/100Base-TX Physical Layer Transceiver Evaluation Board User s Guide Revision 1.0 / August 2012 Micrel, Inc. 2012 All rights reserved Micrel is a registered trademark of

More information

PLC Stamp 1200 micro Datasheet

PLC Stamp 1200 micro Datasheet PLC Stamp 1200 micro Datasheet I2SE GmbH June 28, 2017 1/12 CONTENTS CONTENTS Contents 1 Abstract 3 2 Applications 3 3 Interfaces 3 4 Handling 4 5 Module overview 4 6 Technical Data 6 6.1 Absolute Maximum

More information

PHY-Less Ethernet Implementation Using Freescale Power Architecture Based Microprocessors

PHY-Less Ethernet Implementation Using Freescale Power Architecture Based Microprocessors June 24, 2010 PHY-Less Ethernet Implementation Using Freescale Power Architecture Based Microprocessors FTF-NET-F0568 Patrick B. Billings NMG, NPD Applications Engineering and VortiQa are trademarks of

More information

MAX Gbps Parallel-to-Serial MII Converter

MAX Gbps Parallel-to-Serial MII Converter 19-5987; Rev 0; 7/11 MAX24287 1Gbps Parallel-to-Serial MII Converter General Description The MAX24287 is a flexible, low-cost Ethernet interface conversion IC. The parallel interface can be configured

More information

REV CHANGE DESCRIPTION NAME DATE. A Release

REV CHANGE DESCRIPTION NAME DATE. A Release REV CHANGE DESCRIPTION NAME DATE A Release 06-26-12 Any assistance, services, comments, information, or suggestions provided by SMSC (including without limitation any comments to the effect that the Company

More information

REV CHANGE DESCRIPTION NAME DATE. A Release

REV CHANGE DESCRIPTION NAME DATE. A Release REV CHANGE DESCRIPTION NAME DATE A Release 1-20-17 Any assistance, services, comments, information, or suggestions provided by Microchip (including without limitation any comments to the effect that the

More information

10 Gigabit XGXS/XAUI PCS Core. 1 Introduction. Product Brief Version April 2005

10 Gigabit XGXS/XAUI PCS Core. 1 Introduction. Product Brief Version April 2005 1 Introduction Initially, network managers use 10 Gigabit Ethernet to provide high-speed, local backbone interconnection between large-capacity switches. 10 Gigabit Ethernet enables Internet Service Providers

More information

1GbEth. Access Switch. Workgroup Switch. 10MbEth. Figure 1: Enterprise LAN Topology Example

1GbEth. Access Switch. Workgroup Switch. 10MbEth. Figure 1: Enterprise LAN Topology Example 1 Introduction Ethernet is available in different speeds (10/100/1000 and 10000Mbps) and provides connectivity to meet a wide range of needs from desktop to switches. MorethanIP IP solutions provide a

More information

RTL8212-GR RTL8212N-GR RTL8211N-GR

RTL8212-GR RTL8212N-GR RTL8211N-GR RTL8212-GR RTL8212N-GR RTL8211N-GR INTEGRATED 10/100/1000 SINGLE/DUAL GIGABIT ETHERNET TRANSCEIVER DATASHEET Rev. 1.2 15 November 2005 Track ID: JATR-1076-21 Realtek Semiconductor Corp. No. 2, Innovation

More information

LAN8810/LAN8810i GMII 10/100/1000 Ethernet Transceiver with HP Auto-MDIX Support

LAN8810/LAN8810i GMII 10/100/1000 Ethernet Transceiver with HP Auto-MDIX Support LAN880/LAN880i GMII 0/00/000 Ethernet Transceiver with HP Auto-MDIX Support PDUCT FEATURES Highlights Single-Chip Ethernet Physical Layer Transceiver (PHY) Compliant with IEEE 802.3ab (000BASE-T), IEEE

More information

DP83848 Single 10/100 Mb/s Ethernet Transceiver Reduced Media Independent Interface (RMII ) Mode

DP83848 Single 10/100 Mb/s Ethernet Transceiver Reduced Media Independent Interface (RMII ) Mode DP83848 Single 10/100 Mb/s Ethernet Transceiver Reduced Media Independent Interface (RMII ) Mode 1.0 Introduction National s DP83848 10/100 Mb/s single port Physical Layer device incorporates the low pin

More information

AN 830: Intel FPGA Triple-Speed Ethernet and On-Board PHY Chip Reference Design

AN 830: Intel FPGA Triple-Speed Ethernet and On-Board PHY Chip Reference Design AN 830: Intel FPGA Triple-Speed Ethernet and On-Board PHY Chip Reference Design Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Intel FPGA Triple-Speed Ethernet and On-Board

More information

AN 830: Intel FPGA Triple-Speed Ethernet and On-Board PHY Chip Reference Design

AN 830: Intel FPGA Triple-Speed Ethernet and On-Board PHY Chip Reference Design AN 830: Intel FPGA Triple-Speed Ethernet and On-Board PHY Chip Reference Subscribe Latest document on the web: PDF HTML Contents Contents 1. Intel FPGA Triple-Speed Ethernet and On-Board PHY Chip Reference

More information

2LAN500 - Module. DATA SHEET 25. November 2016 Version 1.3

2LAN500 - Module. DATA SHEET 25. November 2016 Version 1.3 2LAN500 - Module DATA SHEET 25. November 2016 Version 1.3 2LAN500 - Module VERSION HISTORY Version Date Comment 1.0 1.1 1.2 1.3 04.08.2015 Initial version 12.05.2016 Mechanical specification in section

More information

1GbEth. Access Switch. 1GbEth. Workgroup Switch. 10MbEth. Figure 1: Enterprise LAN Topology Example

1GbEth. Access Switch. 1GbEth. Workgroup Switch. 10MbEth. Figure 1: Enterprise LAN Topology Example 1 Introduction Ethernet is available in different speeds (10 and 100Mbps) and provides connectivity to meet a wide range of needs and from desktop to switches. MorethanIP IP solutions provide a solution

More information

Ethernet Switch. WAN Gateway. Figure 1: Switched LAN Example

Ethernet Switch. WAN Gateway. Figure 1: Switched LAN Example 1 Introduction An Ethernet switch is used to interconnect a number of Ethernet LANs (Local Area Networks), forming a large Ethernet network. Different ports of the switch are connected to different LAN

More information

Please visit SMSC's website at for the latest updated documentation.

Please visit SMSC's website at   for the latest updated documentation. AN 10.13 Migrating from the LAN83C180 10/100 PHY to the 10/100 PHY 1 Introduction 1.1 Overview This application note discusses how to migrate from an existing design using the SMSC LAN83C180 PHY to SMSC's

More information

19. VITA 57.1 FMC HPC Connector

19. VITA 57.1 FMC HPC Connector Table 1-27: Switch S2 Configuration Details Switch Configuration Mode/Method Switch Net Name JTAG System ACE CF Slave SelectMAP Platform Flash XL Master BPI P30 Linear Flash S2.1 CCLK_EXTERNAL Off On Off

More information

MAC on the HUB. Y. Ermoline, V0.1. This note describe design steps of the MAC on HUB FPGA to work with Ethernet for IPbus.

MAC on the HUB. Y. Ermoline, V0.1. This note describe design steps of the MAC on HUB FPGA to work with Ethernet for IPbus. MAC on the HUB Y. Ermoline, 20.10.2017 V0.1 This note describe design steps of the MAC on HUB FPGA to work with Ethernet for IPbus. Contents: FPGA and PHY chip... 2 Tri-Mode Ethernet Media Access Controller

More information

KSZ8795CLXD-EVAL Board (KSZ8795CLX+KSZ9031RNX) Demo Evaluation Board User s Guide

KSZ8795CLXD-EVAL Board (KSZ8795CLX+KSZ9031RNX) Demo Evaluation Board User s Guide KSZ8795CLXD-EVAL Board (KSZ8795CLX+KSZ9031RNX) Demo Evaluation Board User s Guide KSZ8795 Family Integrated 5-port Managed Switch with 4 10/100 Copper Ports and Port 5 GMII/RGMII/MII/RMII Up-link Interface

More information

RoHS compliant RJ45 gigabit Ethernet Small Form Pluggable (SFP), 3.3V 1000BASE Ethernet. Performance

RoHS compliant RJ45 gigabit Ethernet Small Form Pluggable (SFP), 3.3V 1000BASE Ethernet. Performance Features Compliant with IEEE 802.3z Gigabit Ethernet Standard Compliant with SFP MSA specifications. Supports auto-negotiation 10/100/1000BASE-T operation in host system with SGMII interface. Supports

More information

Methode Electronics. DM7041-X 1000BASE-T Small Form Factor Pluggable BDT Bus Interface. ISO 9001 Certified

Methode Electronics. DM7041-X 1000BASE-T Small Form Factor Pluggable BDT Bus Interface.  ISO 9001 Certified DM7041-X 1000BASE-T Small Form Factor Pluggable BDT Bus Interface BI-Directional Data Transfer Bus The DM7041 SFP x RJ45 transceiver supports a bi-directional data transfer bus (BDT) to access the PHY

More information

Subject: Jumper, DIP and optional resistor settings for ROACH rev Location of jumpers, switches and resistors on hardware

Subject: Jumper, DIP and optional resistor settings for ROACH rev Location of jumpers, switches and resistors on hardware Technical Memo Number: NRF-KAT7-5.0-MEM-008 To : DBE Team From : Jason Manley, Francois Kapp, David George Date : 20 May 2009 Subject: Jumper, DIP and optional resistor settings for ROACH rev 1.02 Location

More information

XSFP-T-RJ Base-T Copper SFP Transceiver

XSFP-T-RJ Base-T Copper SFP Transceiver Product Overview The electrical Small Form Factor Pluggable (SFP) transceiver module is specifically designed for the high performance integrated full duplex data link at 1.25Gbps over four pair Category

More information

Powerline Test Fixture

Powerline Test Fixture The Bel Powerline test fixture lets you quickly get started testing the 200 and 500 Mbps HomePlug AV Bel Powerline modules. The Powerline test fixture provides all the required circuitry (mains coupler,

More information

AN SMSC LAN8700 User Application and Configuration Guide. 1 Introduction. 1.1 Reference Documents

AN SMSC LAN8700 User Application and Configuration Guide. 1 Introduction. 1.1 Reference Documents AN 16.12 SMSC LAN8700 User Application and Configuration Guide 1 Introduction This application note contains guidelines for the successful configuration and implementation of the LAN8700(i). It contains

More information

Using GCR4 to Adjust Ethernet Timing in MSC8144 DSPs

Using GCR4 to Adjust Ethernet Timing in MSC8144 DSPs Freescale Semiconductor Application Note Document Number: AN3811 Rev. 0, 4/2009 Using GCR4 to Adjust Ethernet Timing in MSC8144 DSPs This application note assists board designers to implement Ethernet

More information

Product Specification. 1000BASE-T Copper GBIC Transceivers FCM-8520/8521-3

Product Specification. 1000BASE-T Copper GBIC Transceivers FCM-8520/8521-3 Product Specification 1000BASE-T Copper GBIC Transceivers FCM-8520/8521-3 Product Features Up to 1.25Gb/s bi-directional data links Extended operating temperature range (0 C to +75 C) Hot-pluggable Fully

More information

Intel FPGA Triple-Speed Ethernet IP Core User Guide

Intel FPGA Triple-Speed Ethernet IP Core User Guide Intel FPGA Triple-Speed Ethernet IP Core User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 About This IP Core...

More information

SFP-GIG-T-LEG. 1.25Gbps SFP Copper Transceiver

SFP-GIG-T-LEG. 1.25Gbps SFP Copper Transceiver Part# 39665 SFP-GIG-T-LEG ALCATEL-LUCENT COMPATIBLE 1000BASE-TX SFP COPPER 100M REACH RJ-45 SFP-GIG-T-LEG 1.25Gbps SFP Copper Transceiver Features Up to 1.25Gb/s bi-directional data links Hot-pluggable

More information

MAC on the HUB. Y. Ermoline, V0.2a. This note describe design steps of the MAC on HUB FPGA to work with Ethernet for IPbus.

MAC on the HUB. Y. Ermoline, V0.2a. This note describe design steps of the MAC on HUB FPGA to work with Ethernet for IPbus. MAC on the HUB Y. Ermoline, 13.12.2017 V0.2a This note describe design steps of the MAC on HUB FPGA to work with Ethernet for IPbus. Contents: FPGA and PHY chip... 2 Tri-Mode Ethernet Media Access Controller

More information

POWERLINE MODULES. In Partnership with. Low Power Embedded HomePlug AV Modules FEATURES APPLICATIONS MODULE BLOCK DIAGRAM

POWERLINE MODULES. In Partnership with. Low Power Embedded HomePlug AV Modules FEATURES APPLICATIONS MODULE BLOCK DIAGRAM FEATURES Based on Intellon s INT6400/INT1400 chipset Supports HomePlug AV Standard with data rates of 200Mbps MII (Host & PHY) interface Supports1024/256/64/16/8-QAM, QPSK, BPSK, and ROBO modulation schemes

More information

AVR32768: 32-Bit AVR UC3 C Series Schematic Checklist. 32-bit Atmel Microcontrollers. Application Note. Features. 1 Introduction

AVR32768: 32-Bit AVR UC3 C Series Schematic Checklist. 32-bit Atmel Microcontrollers. Application Note. Features. 1 Introduction AVR32768: 32-Bit AVR UC3 C Series Schematic Checklist Features Power circuit Reset circuit Clocks and crystal oscillators Analog-to-digital Converter Digital-to-analog Converter USB connection Ethernet

More information

isplever 1GbE PCS IP Core User s Guide October 2005 ipug28_02.0

isplever 1GbE PCS IP Core User s Guide October 2005 ipug28_02.0 isplever TM CORE 1GbE PCS IP Core User s Guide October 2005 ipug28_02.0 Introduction The 1GbE PCS Intellectual Property (IP) Core targets the programmable array section of the ORCA ORT42G5 device and provides

More information

Errata Sheet for Cyclone IV Devices

Errata Sheet for Cyclone IV Devices Errata Sheet for Cyclone IV Devices ES-01027-2.3 Errata Sheet This errata sheet provides updated information on known device issues affecting Cyclone IV devices. Table 1 lists specific Cyclone IV issues,

More information

Spark-102 Altera Cyclone V SOC System on Module Integration guide

Spark-102 Altera Cyclone V SOC System on Module Integration guide Spark-102 Altera Cyclone V SOC System on Module Integration guide Revision 2.0 Contents 1 Scope... 4 1.1 SoM introduction... 4 2 Block diagram... 5 3 SOM integration system aspects... 5 3.1 Power considerations...

More information

SMT-FMC211. Quad DAC FMC. Sundance Multiprocessor Technology Limited

SMT-FMC211. Quad DAC FMC. Sundance Multiprocessor Technology Limited Sundance Multiprocessor Technology Limited Form : QCF51 Template Date : 10 November 2010 Unit / Module Description: Quad DAC FMC Unit / Module Number: Document Issue Number: 1.1 Original Issue Date: 11

More information

Triple-Speed Ethernet MegaCore Function

Triple-Speed Ethernet MegaCore Function Triple-Speed Ethernet MegaCore Function User Guide Subscribe Last updated for Quartus Prime Design Suite: 16.0 UG-01008 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Contents About This

More information

Copper SFP Transceiver

Copper SFP Transceiver Features Up to 1.25Gb/s bi-directional data links Hot-pluggable SFP footprint TX Disable and RX Los/without Los function Extended case temperature range (0 C to +70 C ) Fully metallic enclosure for low

More information

TruePHY ET1011C Gigabit Ethernet Transceiver

TruePHY ET1011C Gigabit Ethernet Transceiver TruePHY ET1011C Features 10Base-T, 100Base-TX, and 1000Base-T gigabit Ethernet transceiver: 0.13 µm process 128-pin TQFP and 84-pin MLCC: RGMII, GMII, MII, RTBI, and TBI interfaces to MAC or switch 68-pin

More information

10 Gigabit Ethernet 10GBase-W PHY. 1 Introduction. Product Brief Version May 2005

10 Gigabit Ethernet 10GBase-W PHY. 1 Introduction. Product Brief Version May 2005 1 Introduction Initially, 10 Gigabit Ethernet is used by network managers to provide high-speed, local backbone interconnection between large-capacity switches, as it enables Internet Service Providers

More information

Design Guidelines for 100 Gbps - CFP2 Interface

Design Guidelines for 100 Gbps - CFP2 Interface 2014.01.16 AN-684 Subscribe This document shows an example layout design that implements a 4 x 25/28 Gbps CFP2 module interface that meets the insertion and return loss mask requirements proposed in the

More information

Application Note. Slave Controller

Application Note. Slave Controller Application Note Slave Controller Section I Section II Section III Technology (Online at http://www.beckhoff.com) Register Description (Online at http://www.beckhoff.com) Hardware Description (Online at

More information

AN 756: Altera GPIO to Altera PHYLite Design Implementation Guidelines

AN 756: Altera GPIO to Altera PHYLite Design Implementation Guidelines AN 756: Altera GPIO to Altera PHYLite Design Implementation Guidelines AN-756 2017.05.08 Subscribe Send Feedback Contents Contents 1...3 1.1 Implementing the Altera PHYLite Design... 3 1.1.1 Parameter

More information

Single Phy (non MDIX) Application Package

Single Phy (non MDIX) Application Package APPLICATION NOTE 1893 ETHERNET PHYCEIVER USER DESIGN GUIDE Introduction This guide explains best design practices for designing the ICS1893 10/100 Ethernet Phys into applications. These practices apply

More information

WIZ850SW User Manual. (Ver. 1.0) 2009 WIZnet Inc. All Rights Reserved. For more information, visit our website at

WIZ850SW User Manual. (Ver. 1.0) 2009 WIZnet Inc. All Rights Reserved. For more information, visit our website at (Ver. 1.0) 2009 WIZnet Inc. All Rights Reserved. For more information, visit our website at www.wiznet.co.kr Document History Information Revision Data Description Ver. 1.0 March 20, 2009 Release with

More information

Designing RGMII Interface with FPGA and HardCopy Devices

Designing RGMII Interface with FPGA and HardCopy Devices Designing RGMII Interface with FPGA and HardCopy Devices November 2007, ver. 1.0 Application Note 477 Introduction The Reduced Gigabit Media Independent Interface (RGMII) is an alternative to the IEEE

More information

10 Gigabit Ethernet 10GBase-R PCS Core. 1 Introduction. Product Brief Version August 2004

10 Gigabit Ethernet 10GBase-R PCS Core. 1 Introduction. Product Brief Version August 2004 1 Introduction Initially, 10 Gigabit Ethernet (10 GbE) is used by network managers to provide high-speed, local backbone interconnection between large-capacity switches, as it enables Internet Service

More information

TruePHY ET1011 Gigabit Ethernet Transceiver

TruePHY ET1011 Gigabit Ethernet Transceiver TruePHY ET1011 Features 10Base-T, 100Base-TX, and 1000Base-T gigabit Ethernet transceiver: 0.13 µm process 128-pin TQFP and 84-pin MLCC: RGMII, GMII, MII, RTBI, and TBI interfaces to MAC or switch 68-pin

More information

Application Note. Slave Controller

Application Note. Slave Controller Application Note Slave Controller Section I Section II Section III Technology (Online at http://www.beckhoff.com) Register Description (Online at http://www.beckhoff.com) Hardware Description (Online at

More information

Design Guidelines for Intel FPGA DisplayPort Interface

Design Guidelines for Intel FPGA DisplayPort Interface 2018-01-22 Design Guidelines for Intel FPGA DisplayPort Interface AN-745 Subscribe The design guidelines help you implement the Intel FPGA DisplayPort IP core using Intel FPGA devices. These guidelines

More information

High Speed Serial Adaptor Module plus Dual JPEG 2000 CODEC

High Speed Serial Adaptor Module plus Dual JPEG 2000 CODEC XRM-FCN-C1 High Speed Serial Adaptor Module plus Dual JPEG 2000 CODEC User Guide Copyright 2008 Alpha Data Parallel Systems Ltd. All rights reserved. This publication is protected by Copyright Law, with

More information

Introduction to Ethernet. Guy Hutchison 8/30/2006

Introduction to Ethernet. Guy Hutchison 8/30/2006 Introduction to Ethernet Guy Hutchison 8/30/2006 What is Ethernet? Local area transport protocol Layer 2 of the OSI stack Zero/minimal configuration Low-cost, high performance Best-effort delivery Original

More information

40-Gbps and 100-Gbps Ethernet in Altera Devices

40-Gbps and 100-Gbps Ethernet in Altera Devices 40-Gbps and 100-Gbps Ethernet in Altera Devices Transceiver Portfolio Workshops 2009 Agenda 40/100 GbE standards 40/100 GbE IP in Altera devices Stratix IV GT FPGA features and advantages Altera standards

More information

MAC-PHY Rate Adaptation Baseline. Arthur Marris

MAC-PHY Rate Adaptation Baseline. Arthur Marris MAC-PHY Rate Adaptation Baseline Arthur Marris arthurm@tality.com IEEE802.3ah EFM Task Force March 2002 1 The Rate Matching Problem The data rate for the EFM copper PHY is not fixed The data rate for the

More information

Raggedstone4 User Manual. Issue 1.1c. Enterpoint Ltd. Raggedstone4 Manual Issue 1.1c

Raggedstone4 User Manual. Issue 1.1c. Enterpoint Ltd. Raggedstone4 Manual Issue 1.1c 1 Raggedstone4 User Manual Issue 1.1c 2 Kit Contents You should receive the following items with your Raggedstone4 development kit: 1 - Raggedstone4 Board 2-4 Digit, 7 Segment LED display (fitted) 3 PC

More information

MB-i87Q0. CPU Intel 4 th Gen. Core Processors in LGA1150. LAN Intel PCIe i217lm GbE PHY (iamt supported) Intel PCIe i210at GbE controller

MB-i87Q0. CPU Intel 4 th Gen. Core Processors in LGA1150. LAN Intel PCIe i217lm GbE PHY (iamt supported) Intel PCIe i210at GbE controller MB-i87Q0 Micro-ATX Industrial Motherboard Quick Installation Guide Version. Form Factor Micro-ATX Industrial Motherboard CPU Intel th Gen. Core Processors in LGA50 Chipset Intel Q87 PCH I/O PCIe/ PCI/

More information

Arria V GX Transceiver Starter Kit

Arria V GX Transceiver Starter Kit Page 1 of 4 Arria V GX Transceiver Starter Kit from Altera Ordering Information Transceiver Starter Kit Contents Starter Board Photo Related Links The Altera Arria V GX Transceiver Starter Kit provides

More information

Intel IXP42X Product Line and IXC1100 Control Plane Processor: Using the Intel LXT973 Ethernet Transceiver

Intel IXP42X Product Line and IXC1100 Control Plane Processor: Using the Intel LXT973 Ethernet Transceiver Intel IXP42X Product Line and IXC1100 Control Plane Processor: Using the Intel LXT973 Ethernet Transceiver Application Note July 2004 Document Number: 253429-002 INFORMATION IN THIS DOCUMENT IS PROVIDED

More information

Product Change Notification - SYST-27SHNF957 (Printer Friendly)

Product Change Notification - SYST-27SHNF957 (Printer Friendly) Product Change Notification - SYST-27SHNF957-28 Oct 2016 - Data Sheet - KSZ8081... http://www.microchip.com/mymicrochip/notificationdetails.aspx?pcn=syst-27shnf957 Page 1 of 2 10/31/2016 English Search...

More information

GLC-T (1000BASE-T SFP) Datasheet

GLC-T (1000BASE-T SFP) Datasheet GLC-T (1000BASE-T SFP) Datasheet Features Up to 1.25Gb/s bi-directional data links Hot-pluggable SFP footprint TX Disable and RX Los/without Los function Fully metallic enclosure for low EMI Low power

More information

10/100M Ethernet-FIFO convertor

10/100M Ethernet-FIFO convertor 10/100M Ethernet-FIFO convertor Author: Gurenliang gurenliang@gmail.com Rev 1.0 September 23, 2009 List of Contents List of Contents... II List of Tables... III List of Figures... IV 1 Introduction...

More information

Arria V GX Video Development System

Arria V GX Video Development System Arria V GX Video Development System Like Sign Up to see what your friends like. The Arria V GX FPGA Video Development System is an ideal video processing platform for high-performance, cost-effective video

More information

XRM-FCN. High Speed Serial Adaptor Module. User Guide. Version 1.2

XRM-FCN. High Speed Serial Adaptor Module. User Guide. Version 1.2 XRM-FCN High Speed Serial Adaptor Module User Guide Copyright 2008 Alpha Data Parallel Systems Ltd. All rights reserved. This publication is protected by Copyright Law, with all rights reserved. No part

More information

XRM-CLINK-ADV. Full/Medium/Base Camera Link and Dual ADV212 Adaptor. User Guide. Version 1.0

XRM-CLINK-ADV. Full/Medium/Base Camera Link and Dual ADV212 Adaptor. User Guide. Version 1.0 XRM-CLINK-ADV Full/Medium/Base Camera Link and Dual ADV212 Adaptor User Guide Alpha Data 4 West Silvermills Lane Edinburgh EH3 5BD UK Phone: +44 (0) 131 558 2600 Fax: +44 (0) 131 558 2700 Email: support@alphadata.co.uk

More information

Tri-Speed Ethernet MAC IP User Guide

Tri-Speed Ethernet MAC IP User Guide Tri-Speed Ethernet MAC IP User Guide April 2015 IPUG51_3.3 Table of Contents Chapter 1. Introduction... 5 Quick Facts... 5 Features... 6 Chapter 2. Functional Description... 7 Configuration Options...

More information

80220/ BASE-TX/10BASE-T Ethernet Media Interface Adapter

80220/ BASE-TX/10BASE-T Ethernet Media Interface Adapter 822/8221 822/8221 1BASE-TX/1BASE-T Ethernet Media Interface Adapter 98184 Features Single Chip 1Base-TX / 1Base-T Physical Layer Solution Dual Speed - 1/1 Mbps Half And Full Duplex MII Interface To Ethernet

More information

User Manual for HMX1-AS2

User Manual for HMX1-AS2 User Manual for HMX1-AS2 Disclaimer. The information in this document is provided in connection with Gleichmann Electronics Research (GE Research) products. GE Research makes no representations or warranties

More information

1000BASE-T Copper SFP Transceiver

1000BASE-T Copper SFP Transceiver 1000BASE-T Copper SFP Transceiver Features Up to 1.25Gb/s bi-directional data links Hot-pluggable SFP footprint TX Disable and RX Los/without Los function Fully metallic enclosure for low EMI Low power

More information

1. Introduction NM7010A Features. WIZnet Inc.

1. Introduction NM7010A Features. WIZnet Inc. NM7010A 1. Introduction NM7010A is the network module that includes W3100A (TCP/IP hardwired chip), Ethernet PHY (RTL8201BL), MAG-JACK (RJ45 with X FMR) with other glue logics. It can be used as a component

More information

Microtronix Stratix III Broadcast IP Development Kit USER MANUAL REVISION Woodcock St. London, ON Canada N5H 5S1

Microtronix Stratix III Broadcast IP Development Kit USER MANUAL REVISION Woodcock St. London, ON Canada N5H 5S1 Microtronix Stratix III Broadcast IP Development Kit USER MANUAL REVISION 1.0 9-1510 Woodcock St. London, ON Canada N5H 5S1 www.microtronix.com Document Revision History This user guide provides basic

More information

SFP GE T. Copper SFP Transceiver

SFP GE T. Copper SFP Transceiver SFP GE T Copper SFP Transceiver Features Up to 1.25Gb/s bi-directional data links Hot-pluggable SFP footprint Extended case temperature range (0 C to +70 C ) Fully metallic enclosure for low EMI Low power

More information

8 PORT LOW COST 10/100 SWITCH

8 PORT LOW COST 10/100 SWITCH 8 PORT LOW COST 10/100 SWITCH AL103 Revision 1.0 Supports 8 10/100 Mbit/s MII ports Capable of trunking up to 800 Mbit/s link Trunk fail-over feature Full- and half-duplex mode operation Speed auto-negotiation

More information

Quad Serial Gigabit Media Independent v3.4

Quad Serial Gigabit Media Independent v3.4 Quad Serial Gigabit Media Independent v3.4 LogiCORE IP Product Guide Vivado Design Suite Table of Contents IP Facts Chapter 1: Overview System Overview..................................................................

More information

KSZ8895MQ/RQ Evaluation Board User s Guide

KSZ8895MQ/RQ Evaluation Board User s Guide KSZ8895MQ/RQ Evaluation Board User s Guide KSZ8895 Family Integrated 5-port 10/100 Ethernet Managed Switch Rev 1.2 January 2013 Micrel Inc. Page 1 1/8/2013 Table of contents 1.0 Introduction... 5 2.0 Features...

More information

SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide

SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Software Version: 8.1 Document Version: 4.0 Document Date: November 2008 UG-MF9504-4.0

More information

MC20902-EVB. MC20902 D-PHY 5-Channel Master Transmitter Evaluation Board User's Guide PRELIMINARY DATASHEET. Version February 2014.

MC20902-EVB. MC20902 D-PHY 5-Channel Master Transmitter Evaluation Board User's Guide PRELIMINARY DATASHEET. Version February 2014. C O N F MC20902 D-PHY 5-Channel Master Transmitter Evaluation Board User's Guide I D E N PRELIMINARY DATASHEET Version 1.00 T February 2014 I Meticom GmbH A L Meticom GmbH Page 1 of 14 Revision History

More information

AN 610: Implementing Deterministic Latency for CPRI and OBSAI Protocols in Altera Devices

AN 610: Implementing Deterministic Latency for CPRI and OBSAI Protocols in Altera Devices AN 610: Implementing Deterministic Latency for CPRI and OBSAI Protocols in Altera Devices July 2010 AN-610-1.0 This application note describes how to implement deterministic latency for Common Public Radio

More information

Altera EP4CE6 Mini Board. Hardware User's Guide

Altera EP4CE6 Mini Board. Hardware User's Guide Altera Hardware User's Guide 1. Introduction Thank you for choosing the! is a compact FPGA board which is designed based on device. It's a low-cost and easy-to-use platform for learning Altera's Cyclone

More information

SGMII Interface Implementation Using Soft-CDR Mode of Stratix III Devices

SGMII Interface Implementation Using Soft-CDR Mode of Stratix III Devices SGMII Interface Implementation Using Soft-CDR Mode of Stratix III Devices May 2008, version 1.0 Application Note 518 Introduction Stratix III device family are one of the most architecturally advanced,

More information

KSZ8895FMQ Evaluation Board User s Guide

KSZ8895FMQ Evaluation Board User s Guide KSZ8895FMQ Evaluation Board User s Guide KSZ8895FMQ Integrated 5-port 10/100 Ethernet Managed Switch Rev 1.0 January 2013 Micrel Inc. Page 1 1/8/2013 Table of contents 1.0 Introduction... 5 2.0 Features...

More information

XSFP-SPT-PE-T1 Copper SFP Transceiver

XSFP-SPT-PE-T1 Copper SFP Transceiver XSFP-SPT-PE-T1 Copper SFP Transceiver Features Up to 1.25GB/s bi-directional data links Hot-pluggable SFP footprint Extended case temperature range (0 C to +70 C ) Fully metallic enclosure for low EMI

More information

UNC20 Module. User's Manual. D Breisach, Germany D Breisach, Germany Fax +49 (7667)

UNC20 Module. User's Manual. D Breisach, Germany D Breisach, Germany Fax +49 (7667) UNC20 Module User's Manual P.O: Box 1103 Kueferstrasse 8 Tel. +49 (7667) 908-0 sales@fsforth.de D-79200 Breisach, Germany D-79206 Breisach, Germany Fax +49 (7667) 908-200 http://www.fsforth.de Copyright

More information

Virtex-5 FPGA Embedded Tri-Mode Ethernet MAC Wrapper v1.7

Virtex-5 FPGA Embedded Tri-Mode Ethernet MAC Wrapper v1.7 DS550 April 19, 2010 Virtex-5 FPGA Embedded Tri-Mode Wrapper v1.7 Introduction The LogiCORE IP Virtex -5 FPGA Embedded Tri-Mode Wrapper automates the generation of HDL wrapper files for the Embedded Tri-Mode

More information

INT-1010 TCP Offload Engine

INT-1010 TCP Offload Engine INT-1010 TCP Offload Engine Product brief, features and benefits summary Highly customizable hardware IP block. Easily portable to ASIC flow, Xilinx or Altera FPGAs INT-1010 is highly flexible that is

More information

Virtex-6 FPGA Embedded Tri-Mode Ethernet MAC Wrapper v1.4

Virtex-6 FPGA Embedded Tri-Mode Ethernet MAC Wrapper v1.4 DS710 April 19, 2010 Introduction The LogiCORE IP Virtex -6 FPGA Embedded Tri- Mode Ethernet MAC Wrapper automates the generation of HDL wrapper files for the Embedded Tri- Mode Ethernet MAC (Ethernet

More information

XGSF-T /100/1000 BASE-T Copper SFP Transceiver

XGSF-T /100/1000 BASE-T Copper SFP Transceiver XGSF-T12-02-2 10/100/1000 BASE-T Copper SFP Transceiver PRODUCT FEATURES Up to 1.25 Gb/s bi-directional data links Hot-pluggable SFP footprint Low power dissipation(1.05w typical) Compact RJ-45 assembly

More information

Copper SFP Series. 10/100/1000Mbps enable the auto-negotiation, preferred master 10/100/1000Mbps enable the auto-negotiation, preferred master

Copper SFP Series. 10/100/1000Mbps enable the auto-negotiation, preferred master 10/100/1000Mbps enable the auto-negotiation, preferred master GD1000-SFP-RJS 10/100/1000BASE-T Copper SFP Transceiver with SGMII interface RoHS6 Compliant Features Support 10/100/1000BASE-T Operation in Host Systems with SGMII interface Support Rx_LOS as link indication

More information

ONYX-MM-XT PC/104 Format Counter/Timer & Digital I/O Module

ONYX-MM-XT PC/104 Format Counter/Timer & Digital I/O Module ONYX-MM-XT PC/104 Format Counter/Timer & Digital I/O Module User Manual V1.4 Copyright 2009 Diamond Systems Corporation 1255 Terra Bella Avenue Mountain View, CA 94043 USA Tel (650) 810-2500 Fax (650)

More information

ADM-XRC-5LX. PCI Mezzanine Card. User Guide. Version 2.0

ADM-XRC-5LX. PCI Mezzanine Card. User Guide. Version 2.0 ADM-XRC-5LX PCI Mezzanine Card User Guide Copyright 2006, 2007, 2008 Alpha Data Parallel Systems Ltd. All rights reserved. This publication is protected by Copyright Law, with all rights reserved. No part

More information

KSZ9896C. 6-Port Gigabit Ethernet Switch with GMII/RGMII/MII/RMII Interface. Highlights. Target Applications. Features

KSZ9896C. 6-Port Gigabit Ethernet Switch with GMII/RGMII/MII/RMII Interface. Highlights. Target Applications. Features 6-Port Gigabit Ethernet Switch with GMII/RGMII/MII/RMII Interface KSZ9896C Highlights One port with 10/100/1000 Ethernet MAC and configurable GMII/RGMII/MII/RMII interface IEEE 802.1X access control support

More information