MPC x Bus Timing Diagram

Size: px
Start display at page:

Download "MPC x Bus Timing Diagram"

Transcription

1 Order Number: AN2246 Rev 1.0, 11/2000 Application Note MPC8260 Freescale NetComm, Austin 0.0 Introduction All the timing diagrams are generated based on the simulations. The timing diagrams are organized as followings: 1. External 60x Master Transactions 2. External 60x Slave Transactions. Motorola, Inc., All rights reserved.

2 nc. 1. External 60x Master Transaction 1.1 External 60x Master Writes to Memory (GPCM) on 60x Bus ALE CS WE Figure 1 60x bus write to 60x memory Notes: All the thick-lined signals are driven by external 60x master. For More Information 2 On This Product,

3 nc. 1.2 External 60x Master 60x Reads from Memory(GPCM) on 60x Bus ALE CS OE Figure 2 60x bus read from 60x memory (GPCM) Notes: All the thick-lined signals are driven by external 60x master. 3

4 nc. 1.3 External 60x Master Reads from Internal dual-port RAM Figure 3 60x bus read from internal dual-port RAM Notes: All the thick-lined signals are driven by external 60x master. For More Information 4 On This Product,

5 nc. 1.4 External 60x Master writes to internal dual-port RAM Figure 4 60x bus write to internal dual-port RAM Notes: All the thick-lined signals are driven by external 60x master. 5

6 nc. 1.5 External 60x Master Writes to Memory (GPCM) on Local Bus L_A L_D CS LWE Figure 5 60x bus write to local bus Notes: All the thick-lined signals are driven by external 60x master. For More Information 6 On This Product,

7 nc. 1.6 External 60x Master Reads from Memory(GPCM) on Local Bus L_A L_D CS LOE Figure 6 60x bus read from local bus Notes: All the thick-lined signals are driven by external 60x master. 7

8 nc. 1.7 External 60x Master Writes to 60x Memory (GPCM) with Read-Modify-Write Cycle ALE CS OE WE PSDVAL Figure 7 60x bus master write to 60x memory with Read-Modify-Write Cycle Notes: All the thick-lined signals are driven by external 60x master. For More Information 8 On This Product,

9 nc. 1.8 External 60x Master Writes to Local Memory (GPCM) with Read-Modify-Write Cycle L_A L_D CS read write LOE LWE Figure 8 60x bus write to local bus with Read-Modify-Write Cycle Notes: All the thick-lined signals are driven by external 60x master. 9

10 nc. 1.9 ARTRY Cycle during External 60x Master Access ARTRY transaction aborted snooping rerun the aborted transaction Figure 9 ARTRY cycle during external 60x master access Notes: All the thick-lined signals are driven by external 60x master. For More Information 10 On This Product,

11 nc TEA Termination during External 60x Master Access TEA Figure 10 TEA termination during external 60x master access All the thick-lined signals are driven by external 60x master. Note: If the external 60x master initiates a transaction not supported by MPC8260, the MPC8260 signals an error by asserting TEA. 11

12 1.11 Pipeline Control -- Cycle with Pipeline nc. Address Tenure Data Tenure Note: ess 1 ess 2 1 Figure x Master Cycle with Pipeline 1. The pipeline depth is controlled by BCR[PLDP]: PLDP = 1: Depth is 0 PLDP = 0: Depth is With the pipeline depth equals to 1, the second ess tenure starts before the end of the first tenure. For More Information 12 On This Product,

13 1.12 Pipeline Control -- Cycle without Pipeline nc. Address Tenure Data Tenure ess 1 ess 2 1 Figure x Master Cycle without Pipeline 2 Note: 1. The pipeline depth is controlled by BCR[PLDP]: PLDP = 1: Depth is 0 PLDP = 0: Depth is 1 2. With the pipeline depth equals to 0, the second ess tenure starts after the end of the first bus tenure. Also for each bus transaction, the ess tenure ends one cycle after its own tenure finishes. 13

14 2. External Slave Transaction 2.1 Simple Read from 60x slave nc. Figure 13 Simple read from 60x slave Notes: All the thick-lined signals are driven by external 60x slave. For More Information 14 On This Product,

15 2.2 Simple Write to 60x slave nc. Figure 14 Simple write to 60x slave Notes: All the thick-lined signals are driven by external 60x slave. 15

16 2.3 ARTRY Cycle during 60x Slave Transaction nc. ARTRY transaction aborted snooping rerun the aborted transaction Figure 15 ARTRY cycle during 60x Slave Transaction Notes: All the thick-lined signals are driven by external 60x slave. For More Information 16 On This Product,

17 nc. 2.4 TEA Termination during External 60x Slave Access TEA Figure 16 TEA termination during external 60x Slave access Note: If the external 60x slave detects a bus error, it may assert TEA to inform MPC8260 during tenure. 17

18 2.5 Pipeline Control -- Cycle with Pipeline nc. Address Tenure Data Tenure Note: ess 1 ess 2 1 Figure 17 Cycle with Pipeline 1. The pipeline depth is controlled by BCR[PLDP]: PLDP = 1: Depth is 0 PLDP = 0: Depth is With the pipeline depth equals to 1, the second ess tenure starts before the end of the first tenure. For More Information 18 On This Product,

19 2.6 Pipeline Control -- Cycle without Pipeline nc. Address Tenure Data Tenure Note: ess 1 ess 2 1 Figure 18 Cycle without Pipeline 1. The pipeline depth is controlled by BCR[PLDP]: PLDP = 1: Depth is 0 PLDP = 0: Depth is With the pipeline depth equals to 0, the second ess tenure starts after the end of the first bus tenure. Also for each bus transaction, the ess tenure ends one cycle after its own tenure finishes. 19

20 nc.

MPC8260 IDMA Timing Diagrams

MPC8260 IDMA Timing Diagrams Freescale Semiconductor Application Note Document Number: AN2177 Rev. 4, 07/2006 MPC8260 IDMA Timing Diagrams By DSD Applications, NCSG Freescale Semiconductor, Inc. The MPC8260 PowerQUICC II integrated

More information

60x Bus. What you will learn 13-1

60x Bus. What you will learn 13-1 60x Bus What you will learn What are the 60x bus pins? What are the 60x bus modes? How to Interface to a slave How to Interface to a bus master How the 60x bus is arbitrated 13-1 How to Connect an 8260

More information

Electrical Differences nc. Table 1 describes how to distinguish between Rev 1.3 and Rev 1.4 devices. The orderable part number and the part marking co

Electrical Differences nc. Table 1 describes how to distinguish between Rev 1.3 and Rev 1.4 devices. The orderable part number and the part marking co nc. MPC107 Revision 1.3 and 1.4: Comparison and Compatibility Doug McQuaid CPD Applications risc10@email. sps.mot.com This document describes electrical and functional differences between Rev 1.3 and Rev

More information

Freescale Semiconductor, Inc. Application Note AN1795/D Rev. 1.1, 6/2003 Designing PowerPC MPC7400 Systems nc... Freescale Semiconductor, I This appli

Freescale Semiconductor, Inc. Application Note AN1795/D Rev. 1.1, 6/2003 Designing PowerPC MPC7400 Systems nc... Freescale Semiconductor, I This appli Application Note AN1795/D Rev. 1.1, 6/2003 Designing PowerPC MPC7400 Systems This application note describes differences between the 60x bus and the native bus mode of the MPC7400 processor (a new bus

More information

MPC2605/D Rev. 11, 2/2002. Integrated Secondary Cache for Microprocessors That Implement PowerPC Architecture

MPC2605/D Rev. 11, 2/2002. Integrated Secondary Cache for Microprocessors That Implement PowerPC Architecture Rev. 11, 2/2002 Integrated Secondary Cache for Microprocessors That Implement PowerPC Architecture The MPC2605 is a single chip, 256KB integrated look-aside cache with copy-back capability designed for

More information

MPC8260 SDRAM Timing Diagrams

MPC8260 SDRAM Timing Diagrams Freescale Semiconductor Application Note Document Number: AN2178 Rev. 2, 07/2006 MPC8260 SDRAM Timing Diagrams The MPC8260 PowerQUICC II integrated communications processor provides one SDRAM interface

More information

PowerPC 60x BUS Implementation Differences

PowerPC 60x BUS Implementation Differences Freescale Semiconductor Application Note Document Number: AN2097 Rev. 1, 10/2006 PowerPC 60x BUS Implementation Differences by Freescale Semiconductor, Inc. Austin, TX This document details differences

More information

MPC8260 PowerQUICC II TM to CAM Interfacing

MPC8260 PowerQUICC II TM to CAM Interfacing MPC8260 PowerQUICC II TM to CAM Interfacing Eric Jackson Technical Marketing, NCSD Networking & Computing Systems Group Motorola, Semiconductor Product Sector Abstract The purpose of this document is to

More information

Application Note 16bit SRAM Interface

Application Note 16bit SRAM Interface Order this document by TBD DragonBall Operation Date: 11/12/98 Preliminary Application Note 16bit SRAM Interface INTRODUCTION This note describes the method of interfacing a 16bit SRAM to DragonBall-EZ

More information

Synchronous Bus. Bus Topics

Synchronous Bus. Bus Topics Bus Topics You should be familiar by now with the basic operation of the MPC823 bus. In this section, we will discuss alternative bus structures and advanced bus operation. Synchronization styles Arbitration:

More information

MPC860 Interface with Generic MC68000 Bus

MPC860 Interface with Generic MC68000 Bus MPC860 Interface with Generic MC68000 Bus The main point of this document is to demonstrate an interface between an MPC860 and a generic MC68000 peripheral. It should be noted that this interface only

More information

Interfacing GSI Sync SRAMs to a Freescale MPC5554 microcontroller

Interfacing GSI Sync SRAMs to a Freescale MPC5554 microcontroller N1022 Interfacing SI Sync SRMs to a Freescale MPC5554 microcontroller Introduction This application note will discuss interfacing a Freescale MPC5554 microcontroller with SI Syncronous urst SRMs. Compatibility

More information

Utilizing the Burst Mode Flash in a Motorola MPC555 System

Utilizing the Burst Mode Flash in a Motorola MPC555 System Utilizing the Burst Mode Flash in a Motorola MPC555 System Application Note Burst mode devices offer improvements in system speed and performance by reducing sequential read access times. AMD s Am29BL162

More information

Table 3. Summary of Silicon Errata and Applicable Revision No. Problem Description Impact Work Around 1 ROM three-state wait functionality not working

Table 3. Summary of Silicon Errata and Applicable Revision No. Problem Description Impact Work Around 1 ROM three-state wait functionality not working Advance Information MPC107CE/D Rev. 6, 5/2003 MPC107 PCI Bridge/ Memory Controller Chip Errata This document details all known silicon errata for the MPC107 and its derivatives. Table 1 provides a revision

More information

MPC8260 Memory Controller

MPC8260 Memory Controller MPC8260 What you will learn What is the 8260? How the Operates Comparison with MPC860 What is an SDRAM? What is the SDRAM Controller? How to initialize the SDRAM Controller 10-1 What is the MPC8260? Definition

More information

Performance Factors nc. 2 Performance Factors The following sections discuss performance factors. 2.1 MPX vs. 60x Bus Mode One of the main factors tha

Performance Factors nc. 2 Performance Factors The following sections discuss performance factors. 2.1 MPX vs. 60x Bus Mode One of the main factors tha nc. White Paper MPC74XXBUSWP Rev. 1.1, 11/2003 Memory Bus Throughput of the MPC74xx Richie David, CPD Applications risc10@email.mot.com This document compares the memory bus data transfer rate of the MPC7450

More information

MSC8103 Design Checklist

MSC8103 Design Checklist Freescale Semiconductor Application Note AN2977 Rev. 0, 4/2005 MSC8103 Design Checklist By Iantha Scheiwe This application note provides a set of recommendations to assist you in a first-time design on

More information

PCMCIA RELEASE 2.0 INTERFACE BOARD FOR DRAGONBALL UPDATE

PCMCIA RELEASE 2.0 INTERFACE BOARD FOR DRAGONBALL UPDATE nc. PCMCIA RELEASE 2.0 INTERFACE BOARD FOR DRAGONBALL UPDATE DTACK GENERATOR DATE : 2 NOV 98 The DTACK Generator is a state machine. It delays the memory or I/O access cycle of the PC Card when the card

More information

Emulating Dual SPI Using FlexIO

Emulating Dual SPI Using FlexIO Freescale Semiconductor, Inc. Document Number: AN5242 Application Note Rev. 0, 01/2016 Emulating Dual SPI Using FlexIO 1. Introduction This application note discusses one example of how to use FlexIO module

More information

< W3150A+ / W5100 Application Note for SPI >

< W3150A+ / W5100 Application Note for SPI > < W3150A+ / W5100 Application Note for SPI > Introduction This application note describes how to set up the SPI in W3150A+ or W5100. Both the W3150A+ and W5100 have same architecture. W5100 is operated

More information

SECTION 2 SIGNAL DESCRIPTION

SECTION 2 SIGNAL DESCRIPTION SECTION 2 SIGNAL DESCRIPTION 2.1 INTRODUCTION Figure 2-1 displays the block diagram of the MCF5206 along with the signal interface. This section describes the MCF5206 input and output signals. The descriptions

More information

PCI Bus Master Interface Chip for Adapters and Embedded Systems. Figure 1. Typical Adapter or Embedded System Block Diagram

PCI Bus Master Interface Chip for Adapters and Embedded Systems. Figure 1. Typical Adapter or Embedded System Block Diagram PCI 9060 December, 1995 PCI Bus Master Interface Chip for VERSION 1.2 Adapters and Embedded Systems Features General Description PCI Bus Master Interface supporting adapters and embedded systems Two independent

More information

Chip Errata for the MPC8260 PowerQUICC II Family

Chip Errata for the MPC8260 PowerQUICC II Family Freescale Semiconductor Errata Document Number: MPC8260CE Rev. 10, 07/2012 Chip Errata for the MPC8260 PowerQUICC II Family This document describes all known silicon errata for the MPC8260 PowerQUICC II

More information

1.1 Differences between the MPC8255 and the MPC8260

1.1 Differences between the MPC8255 and the MPC8260 Application Note AN2075/D Rev. 0.1, 10/2001 Using the MPC8260ADS Board with the MPC8255 J.M. Snyder Communication Processors Applications Systems development for the MPC8255 can be accomplished using the

More information

Design Considerations The ColdFire architectures' foundation in Freescale's architecture allows designers to take advantage of the established t

Design Considerations The ColdFire architectures' foundation in Freescale's architecture allows designers to take advantage of the established t Order Number: AN2007/D Rev. 0, 7/2000 Application Note Evaluating ColdFire in a 68K Target System: MC68340 Gateway Reference Design Nigel Dick Netcomm Applications Group Freescale., East Kilbride, Scotland

More information

CPE/EE 421/521 Fall 2004 Chapter 4 The CPU Hardware Model. Dr. Rhonda Kay Gaede UAH. The CPU Hardware Model - Overview

CPE/EE 421/521 Fall 2004 Chapter 4 The CPU Hardware Model. Dr. Rhonda Kay Gaede UAH. The CPU Hardware Model - Overview CPE/EE 421/521 Fall 2004 Chapter 4 The 68000 CPU Hardware Model Dr. Rhonda Kay Gaede UAH Fall 2004 1 The 68000 CPU Hardware Model - Overview 68000 interface Timing diagram Minimal configuration using the

More information

6 Direct Memory Access (DMA)

6 Direct Memory Access (DMA) 1 License: http://creativecommons.org/licenses/by-nc-nd/3.0/ 6 Direct Access (DMA) DMA technique is used to transfer large volumes of data between I/O interfaces and the memory. Example: Disk drive controllers,

More information

Design Migration from the PowerQUICC II Family to the PowerQUICC II Pro Family

Design Migration from the PowerQUICC II Family to the PowerQUICC II Pro Family Freescale Semiconductor Application Note Document Number: AN2915 Rev. 1, 3/2006 Design Migration from the PowerQUICC II Family to the PowerQUICC II Pro Family By Christian Hammond and Jean-Philippe Giraud

More information

Errata to MPC8308 PowerQUICC II Pro Processor Reference Manual, Rev. 0

Errata to MPC8308 PowerQUICC II Pro Processor Reference Manual, Rev. 0 Freescale Semiconductor Addendum Document Number: MPC8308RMAD Rev. 0.1, 11/2011 Errata to MPC8308 PowerQUICC II Pro Processor Reference Manual, Rev. 0 This errata describes corrections to the MPC8308 PowerQUICC

More information

PRELIMINARY DS3134 Chateau Channelized T1 And E1 And HDLC Controller.

PRELIMINARY DS3134 Chateau Channelized T1 And E1 And HDLC Controller. www.dalsemi.com FEATURES 256 Channel HDLC Controller that Supports up to 64 T1 or E1 Lines or Two T3 Lines 256 Independent bi-directional HDLC channels 16 physical ports (16 Tx & 16 Rx) that can be configured

More information

Freescale Semiconductor, Inc.

Freescale Semiconductor, Inc. Order this document by /D Software I 2 C Communications By Brad Bierschenk MMD Applications Engineering Austin, Texas Introduction I 2 C Overview The I 2 C (inter-integrated circuit) protocol is a 2-wire

More information

ES3890 6ISBA Video CD Processor Product Brief. ESS Technology, Inc. FEATURES DESCRIPTION

ES3890 6ISBA Video CD Processor Product Brief. ESS Technology, Inc. FEATURES DESCRIPTION ESS Technology, Inc. ES3890 6ISBA Video CD Processor Product Brief DESCRIPTION The 6ISBA ES3890 single-chip Video CD (VCD) processor is the latest innovation of ESS s family of VCD chips for VCD players.

More information

CPE/EE 421 Microcomputers

CPE/EE 421 Microcomputers CPE/EE 421 Microcomputers THE 68000 CPU HARDWARE MODEL Instructor: Dr Aleksandar Milenkovic Lecture Notes Lecture 19 CPE/EE 421/521 Microcomputers 1 THE 68000 CPU HARDWARE MODEL Chapter 4 68000 interface

More information

Real-Time Embedded Systems. CpE-450 Spring 06

Real-Time Embedded Systems. CpE-450 Spring 06 Real-Time Embedded Systems CpE-450 Spring 06 Class 5 Bruce McNair bmcnair@stevens.edu 5-1/42 Interfacing to Embedded Systems Distance 100 m 10 m 1 m 100 cm 10 cm "Transmission line" capacitance ( C) Distance

More information

Interfacing the MSC8101 to SDRAM on the MSC8101ADS

Interfacing the MSC8101 to SDRAM on the MSC8101ADS Freescale Semiconductor Application Note AN2329 Rev. 1, 6/2005 Interfacing the MSC8101 to SDRAM on the MSC8101ADS By Marwan Younis Al-saiegh Synchronous DRAM (SDRAM) is one of the most cost effective read/write

More information

Microcontroller basics

Microcontroller basics FYS3240 PC-based instrumentation and microcontrollers Microcontroller basics Spring 2017 Lecture #4 Bekkeng, 30.01.2017 Lab: AVR Studio Microcontrollers can be programmed using Assembly or C language In

More information

Using the PowerQUICC II Auto-Load Feature

Using the PowerQUICC II Auto-Load Feature Freescale Semiconductor Application Note Document Number: AN3352 Rev. 0, 01/2007 Using the PowerQUICC II Auto-Load Feature by David Smith/Patrick Billings Field Application Engineering/DSD Applications

More information

ec5554 Microcontroller Module

ec5554 Microcontroller Module Wuerz elektronik Im Burgfeld 4; D-35781 Weilburg Tel.: ++49 6471 629 884; Fax:++49 6471 629 885 Mail: info@wuerz-elektronik.com http://www.wuerz-elektronik.com ec5554 Microcontroller Module Product Information

More information

The PCI 9054 has Direct Master, DMA and Direct Slave Hitachi SH bit RISC Processor

The PCI 9054 has Direct Master, DMA and Direct Slave Hitachi SH bit RISC Processor PCI 9054/SH7709 AN July 2, 1999 SH7709 to PCI 9054 Version 1.0 Application Note Features General Description PLX Technology PCI 9054, PCI v2.2 compliant 32 bit, 33MHz PCI bus Master I/O Accelerator with

More information

128 Kb Dual-Port SRAM with PCI Bus Controller (PCI-DP)

128 Kb Dual-Port SRAM with PCI Bus Controller (PCI-DP) 128 Kb Dual-Port SRAM with PCI Bus Controller (PCI-DP) Features 128 Kb of dual-ported shared memory Master and target PCI Specification 2.2 compliant interface Embedded host bridge capability Direct interface

More information

Architectural Differences nc. DRAM devices are accessed with a multiplexed address scheme. Each unit of data is accessed by first selecting its row ad

Architectural Differences nc. DRAM devices are accessed with a multiplexed address scheme. Each unit of data is accessed by first selecting its row ad nc. Application Note AN1801 Rev. 0.2, 11/2003 Performance Differences between MPC8240 and the Tsi106 Host Bridge Top Changwatchai Roy Jenevein risc10@email.sps.mot.com CPD Applications This paper discusses

More information

Review for Exam III. Analog/Digital Converters. The MC9S12 has two 10-bit successive approximation A/D converters - can be used in 8-bit mode

Review for Exam III. Analog/Digital Converters. The MC9S12 has two 10-bit successive approximation A/D converters - can be used in 8-bit mode Methods used for A/D converters Flash (Parallel) Successive Approximation Review for Exam III Analog/Digital Converters A/D converters are classified according to: Resolution (number of bits) Speed (number

More information

IP FPGA IP MODULE FPGA HARDWARE REFERENCE MANUAL. Revision 1.5 December 1998

IP FPGA IP MODULE FPGA HARDWARE REFERENCE MANUAL. Revision 1.5 December 1998 IP FPGA- 4020 IP MODULE FPGA HARDWARE REFERENCE MANUAL Revision 1.5 December 1998 This document shall not be duplicated, nor its contents used for any purpose, unless express permission has been granted

More information

Introduction to Microprocessor

Introduction to Microprocessor Introduction to Microprocessor Slide 1 Microprocessor A microprocessor is a multipurpose, programmable, clock-driven, register-based electronic device That reads binary instructions from a storage device

More information

PCI Compliance Checklist

PCI Compliance Checklist PCI Compliance Checklist Actel CorePCIF v2.02 January 2006 Device is: Reserved () Device Address: 0x80000000 Vendor ID: 0x11AA Device ID: 0x6004 Revision 2.2 PCI Compliance Checklist Rev. 2.2 1 Component

More information

Interfacing GSI Sync SRAMs to a Freescale Multiplexed MPC567xF or PXR40xx Microcontroller

Interfacing GSI Sync SRAMs to a Freescale Multiplexed MPC567xF or PXR40xx Microcontroller N1020 Interfacing SI Sync SRMs to a Freescale Multiplexed MPC567xF or PXR40xx Introduction This application note will discuss interfacing a Freescale MPC567xF microcontroller or the Freescale e200 Power

More information

Designing Expansion Boards for the Freescale MPC555EVB/ETAS ES200

Designing Expansion Boards for the Freescale MPC555EVB/ETAS ES200 Order this document by: AN2001/D Designing Expansion Boards for the Freescale MPC555EVB/ETAS ES200 by Randy Dees Rev. 1, 31 July 2001 1 Introduction The Freescale MPC555EVB/ETAS ES200 evaluation board

More information

DALLAS SEMICONDUCTOR. DS3134 CHATEAU CHAnnelized T1 and E1 And Universal HDLC CONTROLLER

DALLAS SEMICONDUCTOR. DS3134 CHATEAU CHAnnelized T1 and E1 And Universal HDLC CONTROLLER DALLAS SEMICONDUCTOR DS3134 CHATEAU CHAnnelized T1 and E1 And Universal HDLC CONTROLLER 256 Channel HDLC Controller that Supports up to 56 T1 or E1 Lines or Two T3 Lines Preliminary Data Sheet Version

More information

1. Microprocessor Architectures. 1.1 Intel 1.2 Motorola

1. Microprocessor Architectures. 1.1 Intel 1.2 Motorola 1. Microprocessor Architectures 1.1 Intel 1.2 Motorola 1.1 Intel The Early Intel Microprocessors The first microprocessor to appear in the market was the Intel 4004, a 4-bit data bus device. This device

More information

8. SED1565 Series. (Rev. 1.2)

8. SED1565 Series. (Rev. 1.2) 8. (Rev. 1.2) Contents GENERAL DESCRIPTION...8-1 FEATURES...8-1 BLOCK DIAGRAM...8-3 PIN DIMENSIONS...8-4 PIN DESCRIPTIONS...8-2 DESCRIPTION OF FUNCTIONS...8-24 COMMANDS...8-48 COMMAND DESCRIPTION...8-57

More information

ADM-96S AND ADM-48D HIGH PERFORMANCE DATA ACQUISITION SYSTEMS

ADM-96S AND ADM-48D HIGH PERFORMANCE DATA ACQUISITION SYSTEMS ADM-96S AND ADM-48D HIGH PERFORMANCE DATA ACQUISITION SYSTEMS ADM-96S DATA ACQUISITION SYSTEM 500-1-0-4100 REV.A ADM-96S / 48D VME MODULE KEY FEATURES Up to 96 Single Ended Input (ADM-96S) Up to 48 Differential

More information

Understanding SPI with Precision Data Converters

Understanding SPI with Precision Data Converters Understanding SPI with Precision Data Converters By: Tony Calabria Presented by: 1 Communication Comparison SPI - Serial Peripheral Interface Bus I2C - Inter- Integrated Circuit Parallel Bus Advantages

More information

Laboratory Exercise 5

Laboratory Exercise 5 Laboratory Exercise 5 Bus Communication The purpose of this exercise is to learn how to communicate using a bus. In the designs generated by using Altera s SOPC Builder, the Nios II processor connects

More information

Page 1 of 11 Version 1.2 Release Date: PenMount PM2204 PCI Controller Board Data Sheet. Table of contents

Page 1 of 11 Version 1.2 Release Date: PenMount PM2204 PCI Controller Board Data Sheet. Table of contents Page 1 of 11 Table of contents Table of contents... 1 Revision history... 2 1.0 Introduction... 3 2.0 Specifications... 4 3.0 Mechanical drawing... 5 3.1 Mechanical size... 5 3.2 Touch line pin definition...

More information

AN2060. Application Note MPC860SAR Microprocessor ATM CAM Interface Application OVERVIEW. V Initial release

AN2060. Application Note MPC860SAR Microprocessor ATM CAM Interface Application OVERVIEW. V Initial release Order this document by AN2060/D AN2060 Application Note MPC860SAR Microprocessor ATM CAM Interface Application V1.0 -- Initial release V1.1 -- August 5, 1998 -- Fixed bugs found during Verilog verification:

More information

Interfacing to the Motorola MCF5307 Microprocessor

Interfacing to the Motorola MCF5307 Microprocessor ENERGY SAVING Color Graphics LCD/CRT Controller Interfacing to the Motorola MCF5307 Microprocessor Document Number: X00A-G-002-03 Copyright 1998 Seiko Epson Corp. All rights reserved. The information in

More information

Video over SPI. (VoSPI) Implementaion Specification. Document Number: 102-PS Date: 22 Jan Weilming Sieh, FLIR. Paul Fagerburg, Syncroness

Video over SPI. (VoSPI) Implementaion Specification. Document Number: 102-PS Date: 22 Jan Weilming Sieh, FLIR. Paul Fagerburg, Syncroness Video over SPI (VoSPI) Implementaion Specification Document Number: 102-PS245-43 Date: 22 Jan 2013 Weilming Sieh, FLIR Paul Fagerburg, Syncroness Reviewed by: FLIR SYSTEMS PROPRIETARY COMPANY ONLY This

More information

Technical Note Using CellularRAM to Replace UtRAM

Technical Note Using CellularRAM to Replace UtRAM Technical Note Using CellularRAM to Replace UtRAM TN-45-13: CellularRAM replacing UtRAM Introduction Introduction The Micron family of CellularRAM devices is designed to be backward compatible with 6T

More information

MPC8xx True Little-Endian Mode

MPC8xx True Little-Endian Mode Application Note MPC8xx True Little-Endian Mode The MPC8xx implements three endian modes: Big-Endian (BE) useful in big-endian systems True little-endian (TLE) useful in little-endian systems Modified

More information

DSP56F827 Digital Signal Controller

DSP56F827 Digital Signal Controller Freescale Semiconductor DSP56F827E Rev. 8.0, 12/2005 56F827 Chip Errata DSP56F827 Digital Signal Controller This document reports errata information on chip revision B. Errata numbers are in the form n.m,

More information

How to Interface the PowerQUICC II Pro and. Local Bus Controller to NAND Flash

How to Interface the PowerQUICC II Pro and. Local Bus Controller to NAND Flash Freescale Semiconductor NANDFLASHWP Rev. 1, 10/2005 How to Interface the PowerQUICC II Pro and PowerQUICC III Local Bus Controller to NAND Flash This application note describes how to interface the Local

More information

Selector Switch SDA. Pin 1. SCL Pin 2. I2C Bus. Pin 7. Pin 8. Pin 1. Pin 2. Pin 7 Pin 8. Pin 1 Pin 2. Pin 7 Pin 8

Selector Switch SDA. Pin 1. SCL Pin 2. I2C Bus. Pin 7. Pin 8. Pin 1. Pin 2. Pin 7 Pin 8. Pin 1 Pin 2. Pin 7 Pin 8 Universal Oscillator Evaluation Board This document describes operation of the Silicon Laboratories Si5xxUC-EVB evaluation board designed to evaluate any of Silicon Labs pin-controlled or I2C configurable

More information

SPI bus communication with LDE/LME pressure sensors

SPI bus communication with LDE/LME pressure sensors This Application Note discusses methods and special considerations related to the Serial Peripheral Interface (SPI) protocol used to communicate digitally with LDE and LME series pressure sensors. 1. Scope

More information

SPI (Serial & Peripheral Interface)

SPI (Serial & Peripheral Interface) SPI (Serial & Peripheral Interface) What is SPI SPI is a high-speed, full-duplex bus that uses a minimum of 3 wires to exchange data. The popularity of this bus rose when SD cards (and its variants ie:

More information

DS1305EN. Serial Alarm Real-Time Clock

DS1305EN. Serial Alarm Real-Time Clock Serial Alarm Real-Time Clock www.maxim-ic.com FEATURES Real-time clock (RTC) counts seconds, minutes, hours, date of the month, month, day of the week, and year with leap-year compensation valid up to

More information

Interfacing the PowerSpan II TM with the Wintegra Winpath TM

Interfacing the PowerSpan II TM with the Wintegra Winpath TM Interfacing the PowerSpan II TM with the Wintegra Winpath TM November 4, 2009 6024 Silver Creek Valley Road San Jose, California 95138 Telephone: (408) 284-8200 FAX: (408) 284-3572 Printed in U.S.A. 2009,

More information

Typical System Implementation

Typical System Implementation PCI Typical System Implementation CPU Cache Memory Subsystem DRAM Subsystem Host Bus PCI Local Bus PCI Bridge/ Memory Controller ISA Bus Bridge ISA Bus PCI Add-in Slots ISA Add-in Slots PCI 2 Desktop Platforms

More information

Application Note AN2247/D Rev. 0, 1/2002 Interfacing the MCF5307 SDRAMC to an External Master nc... Freescale Semiconductor, I Melissa Hunter TECD App

Application Note AN2247/D Rev. 0, 1/2002 Interfacing the MCF5307 SDRAMC to an External Master nc... Freescale Semiconductor, I Melissa Hunter TECD App Application Note AN2247/D Rev. 0, 1/2002 Interfacing the MCF5307 SDRAMC to an External Master Melissa Hunter TECD Applications This application note discusses the issues involved in designing external

More information

DS3131 BoSS 40-Port, Unchannelized Bit-Synchronous HDLC

DS3131 BoSS 40-Port, Unchannelized Bit-Synchronous HDLC DEMO KIT AVAILABLE www.maxim-ic.com GENERAL DESCRIPTION The DS3131 bit-synchronous (BoSS) HDLC controller can handle up to 40 channels of highspeed, unchannelized, bit-synchronous HDLC. The on-board DMA

More information

The PowerPC RISC Family Microprocessor

The PowerPC RISC Family Microprocessor The PowerPC RISC Family Microprocessors In Brief... The PowerPC architecture is derived from the IBM Performance Optimized with Enhanced RISC (POWER) architecture. The PowerPC architecture shares all of

More information

ec555 Microcontroller Module

ec555 Microcontroller Module Wuerz elektronik Im Burgfeld 4; D-35781 Weilburg Tel.: ++49 6471 629 884; Fax:++49 6471 629 885 Mail: info@wuerz-elektronik.com http://www.wuerz-elektronik.com ec555 Microcontroller Module Product Information

More information

MMCLAB01 Logic Analyzer Board User s Manual

MMCLAB01 Logic Analyzer Board User s Manual nc. MMCLAB01 Logic Analyzer Board Motorola reserves the right to make changes without further notice to any products herein to improve reliability, function or design. Motorola does not assume any liability

More information

Freescale Semiconductor, I

Freescale Semiconductor, I Copyright (c) Institute of Electrical Freescale and Electronics Semiconductor, Engineers. Reprinted Inc. with permission. This material is posted here with permission of the IEEE. Such permission of the

More information

Freescale Semiconductor, I

Freescale Semiconductor, I nc. REV2.2 (11/02/99) APPENDIX B MC68EC040 NOTE Rev. 2.2 contains timing information for 40 MHz operation. Refer to chang bars. Some TBD values will be filled in shortly. All references to MC68EC040 also

More information

Understanding the MPC7450 Family L3 Cache Hardware Interface

Understanding the MPC7450 Family L3 Cache Hardware Interface Freescale Semiconductor Application Note Document Number: AN2468 Rev. 1, 11/2006 Understanding the MPC7450 Family L3 Cache Hardware Interface by Michael Everman CPD Applications Freescale Semiconductor,

More information

Virtex-4 FPGA Embedded Processor Block with PowerPC 405 Processor (v2.01b)

Virtex-4 FPGA Embedded Processor Block with PowerPC 405 Processor (v2.01b) Virtex-4 FPGA Embedded Processor Block with PowerPC 405 Processor (v2.01b) DS306 April 24, 2009 ntroduction This document describes the wrapper for the Virtex -4 FPGA embedded processor block. For details

More information

Alex Milenkovich 1. CPE/EE 421 Microcomputers: Motorola The CPU Hardware Model. Outline

Alex Milenkovich 1. CPE/EE 421 Microcomputers: Motorola The CPU Hardware Model. Outline Outline CPE/EE 421 Microcomputers: Motorola 68000 The CPU Hardware Model Instructor: Dr Aleksandar Milenkovic Lecture Notes 68000 interface Timing diagram Minimal configuration using the 68000 Extensions

More information

MC9S12 has 16 bit address and 16 bit data buses. Not enough pins on MC9S12 to allocate 35 pins for buses and pins for all other functions

MC9S12 has 16 bit address and 16 bit data buses. Not enough pins on MC9S12 to allocate 35 pins for buses and pins for all other functions The Multiplexed Address/Data Bus ADDR(16) MC9S12 DATA(16) R/W E LSTRB MEMORY MC9S12 has 16 bit address and 16 bit data buses Requires 35 bits Not enough pins on MC9S12 to allocate 35 pins for buses and

More information

A Zero Wait State Secondary Cache for Intel s Pentium

A Zero Wait State Secondary Cache for Intel s Pentium Order this document by MCM/D A Zero Wait State Secondary Cache for Intel s Pentium Prepared by: Michael Peters, FSRAM Applications Engineer Due to the increased complexity and sheer memory size requirements

More information

XPC185 Security Processor Device Errata

XPC185 Security Processor Device Errata Freescale Semiconductor Errata MPC185CE Rev. 9, 10/2004 XPC185 Security Processor Device Errata This document details all known silicon errata for the XPC185 and its derivatives. Table 1 provides a revision

More information

E-bone interconnect specification Version 1.3

E-bone interconnect specification Version 1.3 E-bone interconnect specification Version 1.3 Table of Contents 1 Overview...2 2 Control Interconnect signals and protocol...4 2.1 Signal description...4 2.2 E-bone burst sequence...5 2.2.1 Requesting

More information

PowerQUICC II Parity and ECC Capability

PowerQUICC II Parity and ECC Capability Freescale Semiconductor Application Note Document Number: AN2682 Rev. 1, 01/2007 PowerQUICC II Parity and ECC Capability by DSD Applications, Freescale Semiconductor, Inc. Austin, TX Ensuring the integrity

More information

An SPI interface for the 65(C)02 family of microprocessors

An SPI interface for the 65(C)02 family of microprocessors Rev 4/B Dec 30, 2011 65SPI/B An SPI interface for the 65(C)02 family of microprocessors This device was created to provide a basic SPI interface for the 65xx family of microprocessors. Currently, the only

More information

Reset Initialization nc. Table 2. Clock Default Modes MODCK[1 3] Input Clock Frequency CPM Multiplication Factor CPM Frequency Core Multiplication Fac

Reset Initialization nc. Table 2. Clock Default Modes MODCK[1 3] Input Clock Frequency CPM Multiplication Factor CPM Frequency Core Multiplication Fac nc. Rev. 0, 02/2003 Initializing Flash Memory for the MPC8260ADS The MPC8260ADS (Application Development System ADS) can be initialized and configured with data from the programmable Board Control and

More information

Pmod modules are powered by the host via the interface s power and ground pins.

Pmod modules are powered by the host via the interface s power and ground pins. 1300 Henley Court Pullman, WA 99163 509.334.6306 www.store. digilent.com Digilent Pmod Interface Specification 1.2.0 Revised October 5, 2017 1 Introduction The Digilent Pmod interface is used to connect

More information

Introducing. QuickLogic s The Basics of PCI. QuickPCI - The PCI Solution for System Needs

Introducing. QuickLogic s The Basics of PCI. QuickPCI - The PCI Solution for System Needs Introducing QuickLogic s The Basics of PCI QuickPCI - The PCI Solution for System Needs Typical System Implementation CPU Cache Memory Subsystem DRAM Subsystem Host Bus PCI Local Bus PCI Bridge/ Memory

More information

INTERFACING THE ISCC TO THE AND 8086

INTERFACING THE ISCC TO THE AND 8086 APPLICATION NOTE INTERFACING THE ISCC TO THE 68 AND 886 INTRODUCTION The ISCC uses its flexible bus to interface with a variety of microprocessors and microcontrollers; included are the 68 and 886. The

More information

_ V1.1. EVB-5566 Evaluation & Development Kit for Freescale PowerPC MPC5566 Microcontroller. User s Manual. Ordering code

_ V1.1. EVB-5566 Evaluation & Development Kit for Freescale PowerPC MPC5566 Microcontroller. User s Manual. Ordering code _ V1.1 User s Manual EVB-5566 Evaluation & Development Kit for Freescale PowerPC MPC5566 Microcontroller EVB-5566 Ordering code ITMPC5566 Copyright 2007 isystem AG. All rights reserved. winidea is a trademark

More information

MPC8260 PowerQUICC II Design Checklist

MPC8260 PowerQUICC II Design Checklist Freescale Semiconductor Application Note Document Number: AN2290 Rev. 2, 02/2006 MPC8260 PowerQUICC II Design Checklist NCSG Field Applications Boston, MA This document provides recommendations for designs

More information

AC31-XXXX-XXX1 AC31-XXXX-XXX2

AC31-XXXX-XXX1 AC31-XXXX-XXX2 DATA SHEET Industrial CompactFlash Card AC31-XXXX-XXX1 AC31-XXXX-XXX2 POWER QUOTIENT INTERNATIONAL CO.,LTD. Approved Design 14F, No.16, Jian Ba Road Chung Ho City, Taipei, Taiwan, R.O.C. Tel : +886-2-8226-5288,

More information

MF Embedded Memory LCD Controller. S1D13706 Series. Technical Manual

MF Embedded Memory LCD Controller. S1D13706 Series. Technical Manual MF1432-01 Embedded Memory LCD Controller S1D13706 Series Technical Manual NOTICE No part of this material may be reproduced or duplicated in any form or by any means without the written permission of Seiko

More information

PROFIBUS on the QUICC Engine Block

PROFIBUS on the QUICC Engine Block Freescale Semiconductor Application Note Document Number: AN4877 Rev. 1, 12/2014 PROFIBUS on the Block PROFIBUS is a fieldbus protocol defined in the IEC 61158 standards. It uses RS-485 UART for the physical

More information

1-Megabit (128K x 8) Low Voltage Paged Parallel EEPROMs AT28LV010

1-Megabit (128K x 8) Low Voltage Paged Parallel EEPROMs AT28LV010 BDTIC www.bdtic.com/atmel Features Single 3.3V ± 10% Supply Fast Read Access Time 200 ns Automatic Page Write Operation Internal Address and Data Latches for 128 Bytes Internal Control Timer Fast Write

More information

Galileo GT System Controller for PowerPC Processors FEATURES. Product Review Revision 1.1 DEC 15, 1999

Galileo GT System Controller for PowerPC Processors FEATURES. Product Review Revision 1.1 DEC 15, 1999 Galileo GT-64130 System Controller for PowerPC Processors Product Review Revision 1.1 DEC 15, 1999 Please contact Galileo Technology for possible updates before finalizing a design. FEATURES Integrated

More information

Using the CodeTEST Probe with Freescale TM MGT5100 Processors

Using the CodeTEST Probe with Freescale TM MGT5100 Processors CodeTEST Tools Application te Using the CodeTEST Probe with Freescale TM MGT5100 Processors This document describes the requirements for connecting the CodeTEST Probe to the external bus of the MGT5100

More information

The 80C186XL 80C188XL Integrated Refresh Control Unit

The 80C186XL 80C188XL Integrated Refresh Control Unit APPLICATION BRIEF The 80C186XL 80C188XL Integrated Refresh Control Unit GARRY MION ECO SENIOR APPLICATIONS ENGINEER November 1994 Order Number 270520-003 Information in this document is provided in connection

More information

Bus AMBA. Advanced Microcontroller Bus Architecture (AMBA)

Bus AMBA. Advanced Microcontroller Bus Architecture (AMBA) Bus AMBA Advanced Microcontroller Bus Architecture (AMBA) Rene.beuchat@epfl.ch Rene.beuchat@hesge.ch Réf: AMBA Specification (Rev 2.0) www.arm.com ARM IHI 0011A 1 What to see AMBA system architecture Derivatives

More information

VIC64 to Motorola Interface

VIC64 to Motorola Interface Purpose This application note shows how the VIC64 can be interfaced to a Motorola 68040 microprocessor operating at 40 MHz. The issues and assumptions that go into designing such an interface are considerable

More information

PowerSpan II TM Initialization Application Note

PowerSpan II TM Initialization Application Note PowerSpan II TM Initialization Application Note 80A00_AN004_02 November 4, 2009 6024 Silver Creek Valley Road San Jose, California 9538 Telephone: (408) 284-8200 FAX: (408) 284-3572 Printed in U.S.A. 2009,

More information

DS1306 Serial Alarm Real-Time Clock

DS1306 Serial Alarm Real-Time Clock Serial Alarm Real-Time Clock www.maxim-ic.com FEATURES Real-Time Clock (RTC) Counts Seconds, Minutes, Hours, Date of the Month, Month, Day of the Week, and Year with Leap-Year Compensation Valid Up to

More information