Interfacing GSI Sync SRAMs to a Freescale MPC5554 microcontroller

Size: px
Start display at page:

Download "Interfacing GSI Sync SRAMs to a Freescale MPC5554 microcontroller"

Transcription

1 N1022 Interfacing SI Sync SRMs to a Freescale MPC5554 microcontroller Introduction This application note will discuss interfacing a Freescale MPC5554 microcontroller with SI Syncronous urst SRMs. Compatibility The Freescale MPC5554 is capable of interfacing with SRMs that operate in either Flow Through or Pipeline mode, which is selectable by the addition of wait states in the MPC5554 read timing. The SRMs must operate in a Late Write mode, where the data and byte writes are supplied one cycle after the write command is loaded. ll of SI s Synchronous urst SRMs are compatible with the Freescale MPC5554. Interface Figure 1 shows the basic connection between an MPC5554 and a SI SRM. The FT pin controls whether the SRM operates in Pipeline mode or Flow Through mode. This pin needs to be tied to V SS if the MPC is operating in a zero wait state Read Mode which is also referred to as Flow Through mode in the SRM datasheet. The FT pin will need to be tied to V DD if the MPC is operating in a one wait state Read Mode, which is also refered to as Pipline mode in the SRM datasheet. The MPC5554 microcontroller uses a Late Write protocol when perfroming L2 cache writes. This requires the design to use the DSP pin to configure the SRM to utilize a Late Write protocol. Freescale MPC5554 DDR[8:31] Figure 1: Connection diagram SI Syncronous SRM V DD for Pipeline mode DV FT DSP CLKOUT V SS for Flow Through mode W DSC V DD E2 WE[0:3] D E3 DT[0:31] [0:31] LO V SS Rev: 1. 6/25 1/5 25, SI Technology

2 N1022 Timing nalysis For all of the following timing diagrams, the SI pin names are displayed on the left next to the MPC pin names. Figure 2 is a timing diagram for L2 cache write. s seen in Figure 2, the addresses and DSP signals are supplied on the first rising edge of clock for the beginnning of the write cycle and the write enable, byte writes, and data signals are supplied on the following rising edge of clock. SRM MPC5554 Figure 2: L2 cache write CLKout DDR[8:31] IZ[0:1] DV DSP T D WE Rev: 1. 6/25 2/5 25, SI Technology

3 N1022 Figure 3 illustrates a flow through read which is also referenced as a zero wait state read. When the SRM operates in Flow Through mode, the read command is clocked in and data is referenced to the same rising edge of clock. Figure 3: Flow Through Mode or Zero Wait State Read SRM MPC5554 DDR[8:31] IZ[0:1] DV DSP T Rev: 1. 6/25 3/5 25, SI Technology

4 N1022 Figure 4 illustrates a flow through read cycle followed by a write cycle. One thing to notice is the required deslect cycle that is added between the read cycle and the write cycle. The deselect cycle is nessecary to allow the SRM to get off the bus and the MPC to begin driving. If this cycle is omitted, there will be bus contention and it is possible that the MPC will not latch in correct data. Figure 4: Flow Through Read Deselect Write Read Deselect Write Deselect SRM MPC5554 DDR[8:31] IZ[0:1] DV DSP T D WE Rev: 1. 6/25 4/5 25, SI Technology

5 N1022 Figure 5 illustrates a pipeline read which is also referneced as a one wait state read. The read address is supplied on the rising edge of clock. On the next rising edge of the clock, data is driven out from the SRM. The data is referenced to the second rising edge of clock. SRM MPC5554 Figure 5: Pipeline Read or One Wait State Read DDR[8:31] IZ[0:1] DV DSP T Summary The Freescale MPC5554 Microcontroller will interface with SI Synchronous urst SRMs that are configured to operate in either Pipeline or Flow Through mode. The timing diagrams in this document bridged the gap between those provided in the Freescale documentation referencing the microcontroller signal names and SI Synchronous urst SRM signal names. designer using this document as a guide should be able to properly configure the interface to work with SI Synchronous urstrm devices. If further questions still exist, please feel free to contact SI pplication Engineers at apps@gsitechnology.com. Rev: 1. 6/25 5/5 25, SI Technology

Interfacing GSI Sync SRAMs to a Freescale Multiplexed MPC567xF or PXR40xx Microcontroller

Interfacing GSI Sync SRAMs to a Freescale Multiplexed MPC567xF or PXR40xx Microcontroller N1020 Interfacing SI Sync SRMs to a Freescale Multiplexed MPC567xF or PXR40xx Introduction This application note will discuss interfacing a Freescale MPC567xF microcontroller or the Freescale e200 Power

More information

SigmaQuad Common I/O Design Guide

SigmaQuad Common I/O Design Guide SigmaQuad ommon I/O Design Guide Introduction The 36Mb and 72Mb SigmaQuad product line has five different product families. These are SigmaQuad ommon I/O (IO) DDR urst of 2 (2), SigmaQuad ommon I/O (IO)

More information

Utilizing the Burst Mode Flash in a Motorola MPC555 System

Utilizing the Burst Mode Flash in a Motorola MPC555 System Utilizing the Burst Mode Flash in a Motorola MPC555 System Application Note Burst mode devices offer improvements in system speed and performance by reducing sequential read access times. AMD s Am29BL162

More information

Understanding the MPC7450 Family L3 Cache Hardware Interface

Understanding the MPC7450 Family L3 Cache Hardware Interface Freescale Semiconductor Application Note Document Number: AN2468 Rev. 1, 11/2006 Understanding the MPC7450 Family L3 Cache Hardware Interface by Michael Everman CPD Applications Freescale Semiconductor,

More information

4-Mb (256K x 18) Pipelined Sync SRAM

4-Mb (256K x 18) Pipelined Sync SRAM 4-Mb (256K x 18) Pipelined Sync SRM Features Registered inputs and outputs for pipelined operation 256K 18 common I/O architecture 3.3V core power supply 3.3V / 2.5V I/O operation Fast clock-to-output

More information

SPI (Serial & Peripheral Interface)

SPI (Serial & Peripheral Interface) SPI (Serial & Peripheral Interface) What is SPI SPI is a high-speed, full-duplex bus that uses a minimum of 3 wires to exchange data. The popularity of this bus rose when SD cards (and its variants ie:

More information

Understanding SPI with Precision Data Converters

Understanding SPI with Precision Data Converters Understanding SPI with Precision Data Converters By: Tony Calabria Presented by: 1 Communication Comparison SPI - Serial Peripheral Interface Bus I2C - Inter- Integrated Circuit Parallel Bus Advantages

More information

App Note Application Note: Addressing Multiple FPAAs Using a SPI Interface

App Note Application Note: Addressing Multiple FPAAs Using a SPI Interface Rev: 1.0.0 Date: 23 rd Jan 2015 App Note - 310 Application Note: Addressing Multiple FPAAs Using a SPI Interface TABLE OF CONTENTS 1 PURPOSE... 2 2 THE SPI INTERFACE... 3 2.1 OVERVIEW... 3 2.2 DETAILED

More information

SigmaRAM Echo Clocks

SigmaRAM Echo Clocks SigmaRAM Echo s AN002 Introduction High speed, high throughput cell processing applications require fast access to data. As clock rates increase, the amount of time available to access and register data

More information

What is an Synchronous SRAM?

What is an Synchronous SRAM? Synchronous y SRAMs What is an Synchronous SRAM? Address Control Reg Async SRAM Data In/Out Clock 15 Register A Register is an element which is capable of storing binary data. A clock is a stream of positive

More information

Interfacing an Intel386 TM EX Microprocessor to an CAN Controller

Interfacing an Intel386 TM EX Microprocessor to an CAN Controller APPLICATION NOTE Interfacing an Intel386 TM EX Microprocessor to an 82527 CAN Controller GREG SCOTT TECHNICAL MARKETING ENGINEER January 1996 Order Number 272790-001 COPYRIGHT INTEL CORPORATION 1995 1

More information

MPC x Bus Timing Diagram

MPC x Bus Timing Diagram Order Number: AN2246 Rev 1.0, 11/2000 Application Note MPC8260 Freescale NetComm, Austin 0.0 Introduction All the timing diagrams are generated based on the simulations. The timing diagrams are organized

More information

Lecture 18: DRAM Technologies

Lecture 18: DRAM Technologies Lecture 18: DRAM Technologies Last Time: Cache and Virtual Memory Review Today DRAM organization or, why is DRAM so slow??? Lecture 18 1 Main Memory = DRAM Lecture 18 2 Basic DRAM Architecture Lecture

More information

I 2 C Application Note in Protocol B

I 2 C Application Note in Protocol B I 2 C Application Note in Protocol B Description This document is a reference for a possible coding method to achieve pressure, temperature, and status for SMI part readings using I 2 C. This SMI Protocol

More information

Altimus X3B Evaluation Board Errata

Altimus X3B Evaluation Board Errata Freescale Semiconductor Errata List ltimus X3B Evaluation Board Errata Rev. F, 9/2005 1 Overview This document describes the known errata and limitations of the ltimus PrPMC card for the Sandpoint reference

More information

FW UPGRADE SPECIFICATION

FW UPGRADE SPECIFICATION 1 (10) FW UPGRADE SPECIFICATION SCA10H Doc. No.1326 Rev. 3 2 (10) Table of Contents 1 Programming With the Bootloader... 3 1.1 Introduction... 3 1.2 FW Upgrade Mode Entry Sequence... 3 1.3 UART Protocol...

More information

Low Voltage, 10-Bit Digital Temperature Sensor in 8-Lead MSOP AD7314

Low Voltage, 10-Bit Digital Temperature Sensor in 8-Lead MSOP AD7314 a FEATURES 10-Bit Temperature-to-Digital Converter 35 C to +85 C Operating Temperature Range 2 C Accuracy SPI and DSP Compatible Serial Interface Shutdown Mode Space-Saving MSOP Package APPLICATIONS Hard

More information

TMS320C6000 EMIF: Overview of Support of High Performance Memory Technology

TMS320C6000 EMIF: Overview of Support of High Performance Memory Technology Application Report SPRA631 - April 2000 TMS320C6000 EMIF: Overview of Support of High Performance Memory Technology Kyle Castille TMS320C6000 DSP Applications ABSTRACT This document gives an overview of

More information

Tracing embedded heterogeneous systems

Tracing embedded heterogeneous systems Tracing embedded heterogeneous systems P R O G R E S S R E P O R T M E E T I N G, D E C E M B E R 2015 T H O M A S B E R T A U L D D I R E C T E D B Y M I C H E L D A G E N A I S December 10th 2015 TRACING

More information

Computer System Components

Computer System Components Computer System Components CPU Core 1 GHz - 3.2 GHz 4-way Superscaler RISC or RISC-core (x86): Deep Instruction Pipelines Dynamic scheduling Multiple FP, integer FUs Dynamic branch prediction Hardware

More information

AN1090. NoBL : The Fast SRAM Architecture. Introduction. NoBL SRAM Description. Abstract. NoBL SRAM Operation

AN1090. NoBL : The Fast SRAM Architecture. Introduction. NoBL SRAM Description. Abstract. NoBL SRAM Operation AN1090 NoBL : The Fast SRAM Architecture Associated Project: No Associated Part Family: All NoBL SRAMs Software Version: None Related Application Notes: None Abstract AN1090 describes the operation of

More information

TECHNICAL PAPER Interfacing the Byte- Wide SmartVoltage FlashFile Memory Family to the Intel486 Microprocessor Family

TECHNICAL PAPER Interfacing the Byte- Wide SmartVoltage FlashFile Memory Family to the Intel486 Microprocessor Family E TECHNICAL PAPER Interfacing the Byte- Wide SmartVoltage FlashFile Memory Family to the Intel486 Microprocessor Family October 1996 Order Number: 297805-001 Information in this document is provided in

More information

SPI 3-Wire Master (VHDL)

SPI 3-Wire Master (VHDL) SPI 3-Wire Master (VHDL) Code Download Features Introduction Background Port Descriptions Clocking Polarity and Phase Command and Data Widths Transactions Reset Conclusion Contact Code Download spi_3_wire_master.vhd

More information

FM25CL64 64Kb FRAM Serial 3V Memory

FM25CL64 64Kb FRAM Serial 3V Memory 64Kb FRAM Serial 3V Memory Features 64K bit Ferroelectric Nonvolatile RAM Organized as 8,192 x 8 bits Unlimited Read/Write Cycles 10 Year Data Retention NoDelay Writes Advanced High-Reliability Ferroelectric

More information

FM25L16-DG. 16Kb FRAM Serial 3V Memory. Features. Pin Configuration

FM25L16-DG. 16Kb FRAM Serial 3V Memory. Features. Pin Configuration 16Kb FRAM Serial 3V Memory Features 16K bit Ferroelectric Nonvolatile RAM Organized as 2,048 x 8 bits Unlimited Read/Write Cycles 45 Year Data Retention NoDelay Writes Advanced High-Reliability Ferroelectric

More information

Hello, and welcome to this presentation of the STM32 I²C interface. It covers the main features of this communication interface, which is widely used

Hello, and welcome to this presentation of the STM32 I²C interface. It covers the main features of this communication interface, which is widely used Hello, and welcome to this presentation of the STM32 I²C interface. It covers the main features of this communication interface, which is widely used to connect devices such as microcontrollers, sensors,

More information

Synchronous Bus. Bus Topics

Synchronous Bus. Bus Topics Bus Topics You should be familiar by now with the basic operation of the MPC823 bus. In this section, we will discuss alternative bus structures and advanced bus operation. Synchronization styles Arbitration:

More information

Motherboard to MicroZed Interfaces

Motherboard to MicroZed Interfaces Motherboard to MicroZed Interfaces Excerpts and Thoughts on Various Interfaces Christopher Woodall Benjamin Havey ADC Data (Parallel) Interface Requirements

More information

Prototyping Module Datasheet

Prototyping Module Datasheet Prototyping Module Datasheet Part Numbers: MPROTO100 rev 002 Zenseio LLC Updated: September 2016 Table of Contents Table of Contents Functional description PROTOTYPING MODULE OVERVIEW FEATURES BLOCK DIAGRAM

More information

OTP MATRIX 3-D MEMORY - 32PIN TSOP 16MB, 32MB, 64MB DATA SHEET DOCUMENT NUMBER: DS004 REVISION: 1.11 REVISION DATE:

OTP MATRIX 3-D MEMORY - 32PIN TSOP 16MB, 32MB, 64MB DATA SHEET DOCUMENT NUMBER: DS004 REVISION: 1.11 REVISION DATE: 16MB, 32MB, 64MB DATA SHEET REVISION: 111 REVISION DATE: 06-24-05 REV 111 06/24/05 NOTICES: Copyright 2002, 2004, 2005 Matrix Semiconductor, Inc All rights reserved If you have received this document from

More information

AN Sleep programming for NXP bridge ICs. Document information

AN Sleep programming for NXP bridge ICs. Document information Rev. 01 5 January 2007 Application note Document information Info Keywords Abstract Content SC16IS750, Bridge IC, Sleep programming The sleep programming of NXP Bridge ICs such as SC16IS750 (I 2 C-bus/SPI

More information

3 Volt Intel StrataFlash Memory to Motorola MC68060 CPU Design Guide

3 Volt Intel StrataFlash Memory to Motorola MC68060 CPU Design Guide 3 Volt Intel StrataFlash Memory to Motorola MC68060 CPU Design Guide Application Note 703 April 2000 Document Number: 292251-002 Information in this document is provided in connection with Intel products.

More information

< W3150A+ / W5100 Application Note for SPI >

< W3150A+ / W5100 Application Note for SPI > < W3150A+ / W5100 Application Note for SPI > Introduction This application note describes how to set up the SPI in W3150A+ or W5100. Both the W3150A+ and W5100 have same architecture. W5100 is operated

More information

Datasheet. Zetta 4Gbit DDR3L SDRAM. Features VDD=VDDQ=1.35V / V. Fully differential clock inputs (CK, CK ) operation

Datasheet. Zetta 4Gbit DDR3L SDRAM. Features VDD=VDDQ=1.35V / V. Fully differential clock inputs (CK, CK ) operation Zetta Datasheet Features VDD=VDDQ=1.35V + 0.100 / - 0.067V Fully differential clock inputs (CK, CK ) operation Differential Data Strobe (DQS, DQS ) On chip DLL align DQ, DQS and DQS transition with CK

More information

72-Mbit (2M 36) Pipelined Sync SRAM

72-Mbit (2M 36) Pipelined Sync SRAM 72-Mbit (2M 36) Pipelined Sync SRM 72-Mbit (2M 36) Pipelined Sync SRM Features Supports bus operation up to 250 MHz vailable speed grades are 250, 200, and 67 MHz Registered inputs and outputs for pipelined

More information

Product Change Notice

Product Change Notice Product Change Notice PCN 001 NetBurner Part Numbers: MOD5441X-100IR, MOD5441X-200IR Implementation Date: July 19, 2013 Revision Number: 1.7 Description: J2 connector pin-out change to expose USB signals

More information

144-Mbit QDR -II SRAM 2-Word Burst Architecture

144-Mbit QDR -II SRAM 2-Word Burst Architecture ADVAE Y71610V, Y71625V Y71612V, Y71614V 144-Mbit QDR -II SRAM 2-Word Burst Architecture Features Separate independent read and write data ports Supports concurrent transactions 333 MHz clock for high bandwidth

More information

PIN ASSIGNMENT PIN DESCRIPTION

PIN ASSIGNMENT PIN DESCRIPTION www.dalsemi.com FEATURES Temperature measurements require no external components Measures temperatures from -55 C to +120 C. Fahrenheit equivalent is -67 F to +248 F Thermometer accuracy is ±2.0 C Thermometer

More information

Freescale Semiconductor, I

Freescale Semiconductor, I nc. /D Rev. 1, 11/2001 Power-On, Clock Selection, and Noise Reduction Techniques for the Freescale MC68HC908GP32 By Yan-Tai Ng Applications Engineering Microcontroller Division Hong Kong Introduction This

More information

EE 456 Fall, Table 1 SPI bus signals. Figure 1 SPI Bus exchange of information between a master and a slave.

EE 456 Fall, Table 1 SPI bus signals. Figure 1 SPI Bus exchange of information between a master and a slave. EE 456 Fall, 2009 Notes on SPI Bus Blandford/Mitchell The Serial Peripheral Interface (SPI) bus was created by Motorola and has become a defacto standard on many microcontrollers. This is a four wire bus

More information

Overview of Intel 80x86 µp

Overview of Intel 80x86 µp CE444 ١ ٢ 8088/808 µp and Supporting Chips Overview of Intel 80x8 µp ٢ ١ 8088/808 µp ٣ Both are mostly the same with small differences. Both are of bit internal Data bus Both have 0 bit address bus Capable

More information

DDR2 SDRAM UDIMM MT8HTF12864AZ 1GB

DDR2 SDRAM UDIMM MT8HTF12864AZ 1GB Features DDR2 SDRAM UDIMM MT8HTF12864AZ 1GB For component data sheets, refer to Micron's Web site: www.micron.com Figure 1: 240-Pin UDIMM (MO-237 R/C D) Features 240-pin, unbuffered dual in-line memory

More information

3 Volt Intel StrataFlash Memory to i960 H CPU Design Guide

3 Volt Intel StrataFlash Memory to i960 H CPU Design Guide 3 Volt Intel StrataFlash Memory to i960 H CPU Design Guide Application Note 705 April 2000 Document Number: 292253-002 Information in this document is provided in connection with Intel products. No license,

More information

MPC55xx Highlighted Features

MPC55xx Highlighted Features MPC55xx Highlighted Features Why Do I Need an etpu? Number one constraint of microcontrollers is their limited ability to perform high speed time related tasks. Limited by CPU interrupt overhead in servicing

More information

EXAMINATION PAPER EMBEDDED SYSTEMS 6EJ005

EXAMINATION PAPER EMBEDDED SYSTEMS 6EJ005 School of Arts, Design and Technology BSc/BSc (HONS) MUSIC TECHNOLOGY & AUDIO SYSTEM DESIGN BSc/BSc (HONS) SOUND, LIGHT AND LIVE EVENT TECHNOLOGY BSc/BSc (HONS) ELECTRICAL AND ELECTRONIC ENGINEERING DATE:

More information

Need more memory! What do we do if our 2K of SRAM isn t enough? Doing complex DSP calculations Large matrix math

Need more memory! What do we do if our 2K of SRAM isn t enough? Doing complex DSP calculations Large matrix math Need more memory! What do we do if our 2K of SRAM isn t enough? Doing complex DSP calculations Large matrix math We need a bigger scratch pad Must interface to external memory module! The HCS12 Solution

More information

FM24C64 64Kb FRAM Serial Memory Features

FM24C64 64Kb FRAM Serial Memory Features 64Kb FRM Serial Memory Features 64K bit Ferroelectric Nonvolatile RM Organized as 8,192 x 8 bits High Endurance 1 Trillion (10 12 ) Read/Writes 10 Year Data Retention NoDelay Writes dvanced High-Reliability

More information

Programmable Peripheral Application Note 021 Interfacing The PSD3XX To The MC68HC16 and The MC68300 Family of Microcontrollers By Ching Lee

Programmable Peripheral Application Note 021 Interfacing The PSD3XX To The MC68HC16 and The MC68300 Family of Microcontrollers By Ching Lee Programmable Peripheral pplication Note 0 Interfacing The PSXX To The MC68HC16 and The MC680 Family of Microcontrollers By Ching Lee Introduction Typical MC683 Design The PSXX devices are user-configurable

More information

128K x 36 Synchronous-Pipelined Cache RAM

128K x 36 Synchronous-Pipelined Cache RAM 1CY7C1347 Features Supports 100-MHz bus for Pentium and PowerPC operations with zero wait states Fully registered inputs and outputs for pipelined operation 128K by 36 common I/O architecture 3.3V core

More information

Hardware Design Guidelines for POWERLINK SLAVE on FPGA

Hardware Design Guidelines for POWERLINK SLAVE on FPGA Hardware Design Guidelines for POWERLINK SLAVE on FPGA Date: Project Number: AT-xx-xxxxxx We reserve the right to change the content of this manual without prior notice. The information contained herein

More information

eip-24/100 Embedded TCP/IP 10/100-BaseT Network Module Features Description Applications

eip-24/100 Embedded TCP/IP 10/100-BaseT Network Module Features Description Applications Embedded TCP/IP 10/100-BaseT Network Module Features 16-bit Microcontroller with Enhanced Flash program memory and static RAM data memory On board 10/100Mbps Ethernet controller, and RJ45 jack for network

More information

DDR2 SDRAM SODIMM MT16HTF12864HZ 1GB MT16HTF25664HZ 2GB. Features. 1GB, 2GB (x64, DR) 200-Pin DDR2 SDRAM SODIMM. Features

DDR2 SDRAM SODIMM MT16HTF12864HZ 1GB MT16HTF25664HZ 2GB. Features. 1GB, 2GB (x64, DR) 200-Pin DDR2 SDRAM SODIMM. Features DDR SDRAM SODIMM MT6HTF864HZ GB MT6HTF5664HZ GB GB, GB (x64, DR) 00-Pin DDR SDRAM SODIMM Features Features 00-pin, small-outline dual in-line memory module (SODIMM) Fast data transfer rates: PC-300, PC-400,

More information

Technical Note NAND Flash Performance Increase with PROGRAM PAGE CACHE MODE Command

Technical Note NAND Flash Performance Increase with PROGRAM PAGE CACHE MODE Command Technical Note NAND Flash Performance Increase with PROGRAM PAGE CACHE MODE Command TN-29-14: Increasing NAND Flash Performance Overview Overview NAND Flash devices are designed for applications requiring

More information

A Simple Parallel Input Port

A Simple Parallel Input Port 308 Apr. 8, 2002 A Simple Parallel Input Port We want a port which will read 8 bits of data from the outside Such a port is similar to or Port B when all pins are set up as input We need some hardware

More information

VA108x0 SPI memory boot options application note

VA108x0 SPI memory boot options application note AN1205 VA108x0 SPI memory boot options application note Dec 21, 2016 Version 1.0 VA10800/VA10820 Abstract The VA108x0 family of MCUs boot from an external SPI memory then execute code from internal SRAM.

More information

Parallel Data Transfer. Suppose you need to transfer data from one HCS12 to another. How can you do this?

Parallel Data Transfer. Suppose you need to transfer data from one HCS12 to another. How can you do this? Introduction the Serial Communications Huang Sections 9.2, 10.2, 11.2 SCI Block User Guide SPI Block User Guide IIC Block User Guide o Parallel vs Serial Communication o Synchronous and Asynchronous Serial

More information

AN ELNEC EN ISP-HC08. Application note for In-System Programming of Motorola/Freescale HC08 microcontrollers

AN ELNEC EN ISP-HC08. Application note for In-System Programming of Motorola/Freescale HC08 microcontrollers www.elnec.com AN ELNEC EN ISP-HC08 Application note for In-System Programming of Motorola/Freescale HC08 microcontrollers Version 05/2006 1 Introduction In system programming (ISP) of HC08 microcontrollers

More information

Pooja Kawale* et al ISSN: [IJESAT] [International Journal of Engineering Science & Advanced Technology] Volume-6, Issue-3,

Pooja Kawale* et al ISSN: [IJESAT] [International Journal of Engineering Science & Advanced Technology] Volume-6, Issue-3, Pooja Kawale* et al ISSN: 2250-3676 [IJESAT] [International Journal of Engineering Science & Advanced Technology] Volume-6, Issue-3, 161-165 Design of AMBA Based AHB2APB Bridge Ms. Pooja Kawale Student

More information

FM25L04 4Kb FRAM Serial 3V Memory

FM25L04 4Kb FRAM Serial 3V Memory 4Kb FRAM Serial 3V Memory Features 4K bit Ferroelectric Nonvolatile RAM Organized as 512 x 8 bits Unlimited Read/Write Cycles 45 Year Data Retention NoDelay Writes Advanced High-Reliability Ferroelectric

More information

Interfacing the HI7190 to a Microcontroller

Interfacing the HI7190 to a Microcontroller Interfacing the to a Microcontroller Application Note September 1995 AN9527 Authors: Stephen LaJeunesse and John Kornblum Introduction The Intersil is a 24-bit monolithic instrumentation sigma delta A/D

More information

PSIM Tutorial. How to Use SPI in F2833x Target. February Powersim Inc.

PSIM Tutorial. How to Use SPI in F2833x Target. February Powersim Inc. PSIM Tutorial How to Use SPI in F2833x Target February 2013-1 - Powersim Inc. With the SimCoder Module and the F2833x Hardware Target, PSIM can generate ready-to-run codes for DSP boards that use TI F2833x

More information

Multilevel Memories. Joel Emer Computer Science and Artificial Intelligence Laboratory Massachusetts Institute of Technology

Multilevel Memories. Joel Emer Computer Science and Artificial Intelligence Laboratory Massachusetts Institute of Technology 1 Multilevel Memories Computer Science and Artificial Intelligence Laboratory Massachusetts Institute of Technology Based on the material prepared by Krste Asanovic and Arvind CPU-Memory Bottleneck 6.823

More information

EEM478-WEEK7 PART B Bootloader

EEM478-WEEK7 PART B Bootloader EEM478-WEEK7 PART B Bootloader Learning Objectives Need for a bootloader. What happens during a reset. Boot modes and processes. Memory map. Chapter 9, Slide 2 VCC EPROM What is the bootloader? VCC Boot

More information

a Engineer-To-Engineer Note

a Engineer-To-Engineer Note a Engineer-To-Engineer Note EE-136 Phone: (800) ANALOG-D, FAX: (781) 461-3010, EMAIL: dsp.support@analog.com, FTP: ftp.analog.com, WEB: www.analog.com/dsp Using the Programmable I/O FLAGS and IOFLAG register

More information

AN-HK-32. In-Circuit Programming of FLASH Memory in the MC68HC908GP32. nc... Freescale Semiconductor, I. PART 1 Introduction

AN-HK-32. In-Circuit Programming of FLASH Memory in the MC68HC908GP32. nc... Freescale Semiconductor, I. PART 1 Introduction Order this document by AN-HK-32/H Rev. 2.0 AN-HK-32 In-Circuit Programming of FLASH Memory in the MC68HC908GP32 By T.C. Lun Applications Engineering Microcontroller Division Hong Kong PART 1 Introduction

More information

COTS PEM AS5SP128K32DQ

COTS PEM AS5SP128K32DQ ustin Semiconductor, Inc. SSRM Plastic Encapsulated Microcircuit 4.0Mb, 128K x 32, Synchronous SRM Pipeline Burst, Single Cycle Deselect BWd\ BWc\ BWb\ BWa\ VDD VSS BWE\ DSC\ DSP\ DV\ Features Synchronous

More information

CY7C25632KV18 CY7C25652KV18

CY7C25632KV18 CY7C25652KV18 72-Mbit QDR II+ SRAM Four-Word Burst Architecture (2.5 Cycle Read Latency) with ODT 72-Mbit QDR II+ SRAM Four-Word Burst Architecture (2.5 Cycle Read Latency) with ODT Features Separate independent read

More information

256-Kbit (32 K 8) Serial (SPI) F-RAM

256-Kbit (32 K 8) Serial (SPI) F-RAM 256-Kbit (32 K 8) Serial (SPI) F-RAM 64-Kbit (8 K 8) Serial (SPI) F-RAM Features 256-Kbit ferroelectric random access memory (F-RAM) logically organized as 32K 8 High-endurance 100 trillion (10 14 ) read/writes

More information

Functional Diagram: Serial Interface: Serial Signals:

Functional Diagram: Serial Interface: Serial Signals: PCIe4-SIO8BX2-SYNC Eight Channel High Performance Synchronous Serial I/O PCIe Card Featuring RS422/RS485/RS232 Software Configurable Transceivers and 32K Byte Buffers (512K Byte total) The PCIe4-SIO8BX2-SYNC

More information

64-megabit 2.7V Dual-interface DataFlash

64-megabit 2.7V Dual-interface DataFlash Features Single 2.7V - 3.6V Supply Dual-interface Architecture RapidS Serial Interface: 66MHz Maximum Clock Frequency SPI Compatible Modes 0 and 3 Rapid8 8-bit Interface: 50MHz Maximum Clock Frequency

More information

PCI-SIO8BXS-SYNC. Features:

PCI-SIO8BXS-SYNC. Features: PCI-SIO8BXS-SYNC Eight Channel High Performance Serial I/O PCI Card Featuring /RS232/RS423 Software Configurable Transceivers and 32K Byte Buffers (512K Byte total) The PCI-SI08BXS-SYNC is an eight channel

More information

Engineer To Engineer Note. Interfacing the ADSP-BF535 Blackfin Processor to Single-CHIP CIF Digital Camera "OV6630" over the External Memory Bus

Engineer To Engineer Note. Interfacing the ADSP-BF535 Blackfin Processor to Single-CHIP CIF Digital Camera OV6630 over the External Memory Bus Engineer To Engineer Note EE-181 a Technical Notes on using Analog Devices' DSP components and development tools Contact our technical support by phone: (800) ANALOG-D or e-mail: dsp.support@analog.com

More information

Memory latency: Affects cache miss penalty. Measured by:

Memory latency: Affects cache miss penalty. Measured by: Main Memory Main memory generally utilizes Dynamic RAM (DRAM), which use a single transistor to store a bit, but require a periodic data refresh by reading every row. Static RAM may be used for main memory

More information

Memory latency: Affects cache miss penalty. Measured by:

Memory latency: Affects cache miss penalty. Measured by: Main Memory Main memory generally utilizes Dynamic RAM (DRAM), which use a single transistor to store a bit, but require a periodic data refresh by reading every row. Static RAM may be used for main memory

More information

Lecture 25 March 23, 2012 Introduction to Serial Communications

Lecture 25 March 23, 2012 Introduction to Serial Communications Lecture 25 March 23, 2012 Introduction to Serial Communications Parallel Communications Parallel Communications with Handshaking Serial Communications Asynchronous Serial (e.g., SCI, RS-232) Synchronous

More information

Generating a Quick and Controlled Waveform With the DAC

Generating a Quick and Controlled Waveform With the DAC Freescale Semiconductor Document Number: AN4978 Application Note Rev 0, 08/2014 Generating a Quick and Controlled Waveform With the DAC by: Arpita Agarwal 1 Overview This application note describes how

More information

Freescale and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their

Freescale and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their S08 Highlighted Features Why Do I Need a Slave LIN Interface Controller (SLIC)? Design Challenges Slave synchronization Slave synchronizing to LIN messaging requires a cost versus resource trade-off. Your

More information

Infineon C167CR microcontroller, 256 kb external. RAM and 256 kb external (Flash) EEPROM. - Small single-board computer (SBC) with an

Infineon C167CR microcontroller, 256 kb external. RAM and 256 kb external (Flash) EEPROM. - Small single-board computer (SBC) with an Microcontroller Basics MP2-1 week lecture topics 2 Microcontroller basics - Clock generation, PLL - Address space, addressing modes - Central Processing Unit (CPU) - General Purpose Input/Output (GPIO)

More information

512 Mbit, 1.8 V Serial Peripheral Interface with Multi-I/O Flash

512 Mbit, 1.8 V Serial Peripheral Interface with Multi-I/O Flash 512 Mbit, 1.8 V Serial Peripheral Interface with Multi-I/O Flash Features Serial Peripheral Interface (SPI) with Multi-I/O SPI Clock polarity and phase modes 0 and 3 Double Data Rate (DDR) option Extended

More information

Using the MC9S12 in Expanded Mode External Ports S12CPUV2 Reference Manual Multiplexed External Bus Interface (MEBI) Module V3 Block User Guide

Using the MC9S12 in Expanded Mode External Ports S12CPUV2 Reference Manual Multiplexed External Bus Interface (MEBI) Module V3 Block User Guide Using the MC9S12 in Expanded Mode External Ports S12CPUV2 Reference Manual Multiplexed External Bus Interface (MEBI) Module V3 Block User Guide - Computer with N bit address bus can access 2 N bytes of

More information

power supply Tamper Detect function will detect possible data modification from outside magnetic

power supply Tamper Detect function will detect possible data modification from outside magnetic FEATURES High bandwidth Read and Write at 52MB/sec Quad I/O with the use of dual purpose pins to maintain a low pin count Operates in both standard, single SPI mode and high speed quad SPI mode Fast quad

More information

Random-Access Memory (RAM) CISC 360. The Memory Hierarchy Nov 24, Conventional DRAM Organization. SRAM vs DRAM Summary.

Random-Access Memory (RAM) CISC 360. The Memory Hierarchy Nov 24, Conventional DRAM Organization. SRAM vs DRAM Summary. CISC 36 Random-ccess Memory (RM) The Memory Hierarchy Nov 24, 29 class12.ppt 2 CISC 36 Fa9 SRM vs DRM Summary Conventional DRM Organization Tran. ccess per bit time Persist?Sensitive? Cost pplications

More information

ECE 485/585 Microprocessor System Design

ECE 485/585 Microprocessor System Design Microprocessor System Design Lecture 5: Zeshan Chishti DRAM Basics DRAM Evolution SDRAM-based Memory Systems Electrical and Computer Engineering Dept. Maseeh College of Engineering and Computer Science

More information

Distributed by: www.jameco.com 1-800-831-4242 The content and copyrights of the attached material are the property of its owner. 16,384-BIT EPROM WITH I/O! 2048 Words x 8 Bits! Single + 5V Power Supply

More information

Topic 21: Memory Technology

Topic 21: Memory Technology Topic 21: Memory Technology COS / ELE 375 Computer Architecture and Organization Princeton University Fall 2015 Prof. David August 1 Old Stuff Revisited Mercury Delay Line Memory Maurice Wilkes, in 1947,

More information

Topic 21: Memory Technology

Topic 21: Memory Technology Topic 21: Memory Technology COS / ELE 375 Computer Architecture and Organization Princeton University Fall 2015 Prof. David August 1 Old Stuff Revisited Mercury Delay Line Memory Maurice Wilkes, in 1947,

More information

Parallel NOR and PSRAM 56-Ball MCP Combination Memory

Parallel NOR and PSRAM 56-Ball MCP Combination Memory Parallel NOR and PSRAM 56-Ball MCP Combination Memory MT38L3031AA03JVZZI.X7A 56-Ball MCP: 128Mb Parallel NOR and 64Mb PSRAM Features Features Micron Parallel NOR Flash and PSRAM components RoHS-compliant,

More information

Roa Logic. APB4 Multiplexer. Datasheet. October, c Roa Logic B.V.

Roa Logic. APB4 Multiplexer. Datasheet.   October, c Roa Logic B.V. Roa Logic Silicon Proven IP for FPGA and ASIC www.roalogic.com APB4 Multiplexer Datasheet http://roalogic.github.io/plic October, 2017 c Roa Logic B.V. Contents 1 Introduction 1 1.1 Features......................................

More information

spi 1 Fri Oct 13 13:04:

spi 1 Fri Oct 13 13:04: spi 1 Fri Oct 1 1:: 1.1 Introduction SECTION SERIAL PERIPHERAL INTERFACE (SPI) The SPI module allows full-duplex, synchronous, serial communication with peripheral devices.. Features Features of the SPI

More information

Mainstream Computer System Components CPU Core 2 GHz GHz 4-way Superscaler (RISC or RISC-core (x86): Dynamic scheduling, Hardware speculation

Mainstream Computer System Components CPU Core 2 GHz GHz 4-way Superscaler (RISC or RISC-core (x86): Dynamic scheduling, Hardware speculation Mainstream Computer System Components CPU Core 2 GHz - 3.0 GHz 4-way Superscaler (RISC or RISC-core (x86): Dynamic scheduling, Hardware speculation One core or multi-core (2-4) per chip Multiple FP, integer

More information

Mainstream Computer System Components

Mainstream Computer System Components Mainstream Computer System Components Double Date Rate (DDR) SDRAM One channel = 8 bytes = 64 bits wide Current DDR3 SDRAM Example: PC3-12800 (DDR3-1600) 200 MHz (internal base chip clock) 8-way interleaved

More information

NanoMind Z7000. Datasheet On-board CPU and FPGA for space applications

NanoMind Z7000. Datasheet On-board CPU and FPGA for space applications NanoMind Z7000 Datasheet On-board CPU and FPGA for space applications 1 Table of Contents 1 TABLE OF CONTENTS... 2 2 OVERVIEW... 3 2.1 HIGHLIGHTED FEATURES... 3 2.2 BLOCK DIAGRAM... 4 2.3 FUNCTIONAL DESCRIPTION...

More information

PC-MIP Link Receiver Board Interface Description

PC-MIP Link Receiver Board Interface Description PC-MIP Link Receiver Board Interface Description E. Hazen, A. Chertovskikh Boston University Rev 2. August 24, 26 1 Description and Operation This document describes briefly the PC-MIP 3-channel Link Receiver

More information

80C31BH, 80C51BH, 80C51BHP, 87C51 SPECIFICATION UPDATE

80C31BH, 80C51BH, 80C51BHP, 87C51 SPECIFICATION UPDATE 80C31BH, 80C51BH, 80C51BHP, 87C51 SPECIFICATION UPDATE Release Date: December, 1996 Order Number: 272878-003 The 80C31BH, 80C51BH, 80C51BHP, 87C51 may contain design defects or errors known as errata.

More information

endpoints. (Including control, interrupt, bulk in and per machine cycle

endpoints. (Including control, interrupt, bulk in and per machine cycle 1. FEATURES High speed 8bit microcontroller with 4 system clocks endpoints. (Including control, interrupt, bulk in and per machine cycle bulk out endpoints) Instructionset compatible with MCS51 Built in

More information

DATASHEET X24C Bit, 16 x 16 Bit Serial AUTOSTORE NOVRAM

DATASHEET X24C Bit, 16 x 16 Bit Serial AUTOSTORE NOVRAM DATASHEET X24C45 256 Bit, 16 x 16 Bit Serial AUTOSTORE NOVRAM FN8104 Rev 0.00 FEATURES AUTOSTORE NOVRAM Automatically performs a store operation upon loss of V CC Single 5V supply Ideal for use with single

More information

Freescale Semiconductor, Inc.

Freescale Semiconductor, Inc. Order this document by /D Software I 2 C Communications By Brad Bierschenk MMD Applications Engineering Austin, Texas Introduction I 2 C Overview The I 2 C (inter-integrated circuit) protocol is a 2-wire

More information

SPI Overview and Operation

SPI Overview and Operation White Paper Abstract Communications between semiconductor devices is very common. Many different protocols are already defined in addition to the infinite ways to communicate with a proprietary protocol.

More information

Random-Access Memory (RAM) Lecture 13 The Memory Hierarchy. Conventional DRAM Organization. SRAM vs DRAM Summary. Topics. d x w DRAM: Key features

Random-Access Memory (RAM) Lecture 13 The Memory Hierarchy. Conventional DRAM Organization. SRAM vs DRAM Summary. Topics. d x w DRAM: Key features Random-ccess Memory (RM) Lecture 13 The Memory Hierarchy Topics Storage technologies and trends Locality of reference Caching in the hierarchy Key features RM is packaged as a chip. Basic storage unit

More information

Engineer To Engineer Note

Engineer To Engineer Note Engineer To Engineer Note EE-189 a Technical Notes on using nalog Devices' DSP components and development tools Contact our technical support by phone: (800) NLOG-D or e-mail: dsp.support@analog.com Or

More information