Incremental Layer Assignment for Critical Path Timing

Size: px
Start display at page:

Download "Incremental Layer Assignment for Critical Path Timing"

Transcription

1 Incremental Layer Assignment for Critical Path Timing Derong Liu 1, Bei Yu 2, Salim Chowdhury 3, and David Z. Pan 1 1 ECE Department, University of Texas at Austin, Austin, TX, USA 2 CSE Department, Chinese University of Hong Kong, Hong Kong 3 Oracle Corp., Austin, TX, USA 1

2 Introduction t As VLSI technology scales to deep submicron Interconnect dominates timing issues Global routing integral part of timing convergence flow 2D Global Routing Layer Assignment 3D Global Routing Global Routing Flow 2

3 Previous Works on GR and LA t Example papers on global routing and layer assignment: Timing-driven GR [Liu et al. TCAD 13] Via count and overflow minimization during layer assignment - NVM [Liu+, ASPDAC 11] Delay-driven layer assignment [Yu+, ICCAD 15] t Limitations of previous layer assignment: Net-by-net method may lead to sub-optimal results Focus on sum of net delays Lack global optimization Linear approximation of via delays influences accuracy. 3

4 Contributions of this Work t A novel incremental layer assignment framework for critical path timing with via delays t Semidefinite programming (SDP) modeling for better optimal solutions t Self-adaptive partitioning methodology based on K*K partitions for speed-up 4

5 Problem Formulation t Critical Path Layer Assignment (CPLA) Given a 3-D grid graph, edge and layer information, initial layer assignment solution and set of critical nets Minimize: critical path timing (Elmore delay) Subject to: edge capacity constraints Non-Critical Nets: n1 n2 ; Critical Net: n3 n3 n2 n1 n3 n1 n2 (a) (b) 5

6 CPLA Algorithm t Mathematical Formulation Segment costs Via costs t Constraints: Each segment should be assigned on one and only one layer Edge capacity constraint: Via capacity constraint: 6

7 Self-adaptive Quadruple Partition t K x K division [Yu+, ICCAD 15] Unbalanced computation overhead t Limit the number of segments in each partition Each thread deals with workload in a well-balanced manner 7

8 Semidefinite Programming t Input: parameter matrix T t Output: variable matrix X Segment costs Via costs 8

9 Example t Segments S1 and S2 t 4 layers: Layer 1 and 3 forx-dimension Layer 2 and 4 fory-dimension S1 T(M1): T(M3): S2 T(M2): T(M4): t Post mapping strategy provides integer solutions 9

10 Experimental Results t Implemented the framework in C++ t ILP solver -- GUROBI t SDP solver CSDP t Parallel implementation OpenMP t Evaluation on ISPD 08 global routing benchmarks t Performance Metrics Average Critical Path Timing Worst Critical Path Timing # of Via capacity violation # of Via 10

11 Evaluation on ISPD 08 Benchmarks t Initial global routing input: Generated by NCTU-GR 2.0 [Liu et al. TCAD 13] t Initial layer assignment: From NVM [Liu et al. ASPDAC 11] Targeting via number and overflow minimization t Wire resistance and capacitance values obtained from industry settings t Release 0.5% critical and non-critical nets t Compared with TILA [Yu et al. ICCAD 15] 11

12 Delay Comparison Results t ISPD 08 Global Routing Benchmarks 14% improvement in Avg(T cp ) 4% improvement in Max(T cp ) 12

13 Via Comparison Results t ISPD 08 Global Routing Benchmarks Via Overflow (#OV) decreases by 10% Similar number of vias 13

14 Conclusion t Propose Incremental Layer Assignment for Critical Path Timing (CPLA) algorithm Self-adaptive partition provides balanced workload for multiple threads and potential speed-up Semidefinite programming (SDP) relaxation Post mapping satisfies constraints t CPLA suitable for future heterogeneous layer structures 14

15 15

16 Overview t Introduction t Problem Formulation t Algorithms t Experimental Results t Conclusion 16

17 Model Description t Elmore timing model: Consider both segment delay and via delay s4 s1 s2 s3 v1 Maximum Sink Path Delay: t(s1) + t(s2) + t(s3) + t(s4) + t(v1) 17

18 Partition Size Impact t Different number of segments in each partition Impact on Avg(T cp ); Impact on Max(T cp ); Impact on runtime 18

19 Mapping Algorithm t Provide integer solutions For each edge with critical nets: Find the current highest layer j to assign segments cap(j) = allowable number of segments to assign Select cap(j) segments with highest solutions Assign these segments on layer j of edge Finish all layers? N Exit Y 19

AS VLSI technology scales to deep submicron and beyond, interconnect

AS VLSI technology scales to deep submicron and beyond, interconnect IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL., NO. 1 TILA-S: Timing-Driven Incremental Layer Assignment Avoiding Slew Violations Derong Liu, Bei Yu Member, IEEE, Salim

More information

THE INCREASING impact of interconnect delay on the

THE INCREASING impact of interconnect delay on the 1126 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 36, NO. 7, JULY 2017 Incremental Layer Assignment Driven by an External Signoff Timing Engine Vinicius Livramento,

More information

Metal-Density Driven Placement for CMP Variation and Routability

Metal-Density Driven Placement for CMP Variation and Routability Metal-Density Driven Placement for CMP Variation and Routability ISPD-2008 Tung-Chieh Chen 1, Minsik Cho 2, David Z. Pan 2, and Yao-Wen Chang 1 1 Dept. of EE, National Taiwan University 2 Dept. of ECE,

More information

Stitch Aware Detailed Placement for Multiple E-Beam Lithography

Stitch Aware Detailed Placement for Multiple E-Beam Lithography Stitch Aware Detailed Placement for Multiple E-Beam Lithography Yibo Lin 1, Bei Yu 2, Yi Zou 1,3, Zhuo Li 4, Charles J. Alpert 4, and David Z. Pan 1 1 ECE Department, University of Texas at Austin 2 CSE

More information

Symmetrical Buffered Clock-Tree Synthesis with Supply-Voltage Alignment

Symmetrical Buffered Clock-Tree Synthesis with Supply-Voltage Alignment Symmetrical Buffered Clock-Tree Synthesis with Supply-Voltage Alignment Xin-Wei Shih, Tzu-Hsuan Hsu, Hsu-Chieh Lee, Yao-Wen Chang, Kai-Yuan Chao 2013.01.24 1 Outline 2 Clock Network Synthesis Clock network

More information

E-BLOW: E-Beam Lithography Overlapping aware Stencil Planning for MCC System

E-BLOW: E-Beam Lithography Overlapping aware Stencil Planning for MCC System E-BLOW: E-Beam Lithography Overlapping aware Stencil Planning for MCC System Bei Yu, Kun Yuan*, Jhih-Rong Gao, and David Z. Pan ECE Dept. University of Texas at Austin, TX *Cadence Inc., CA Supported in

More information

Variation Tolerant Buffered Clock Network Synthesis with Cross Links

Variation Tolerant Buffered Clock Network Synthesis with Cross Links Variation Tolerant Buffered Clock Network Synthesis with Cross Links Anand Rajaram David Z. Pan Dept. of ECE, UT-Austin Texas Instruments, Dallas Sponsored by SRC and IBM Faculty Award 1 Presentation Outline

More information

DpRouter: A Fast and Accurate Dynamic- Pattern-Based Global Routing Algorithm

DpRouter: A Fast and Accurate Dynamic- Pattern-Based Global Routing Algorithm DpRouter: A Fast and Accurate Dynamic- Pattern-Based Global Routing Algorithm Zhen Cao 1,Tong Jing 1, 2, Jinjun Xiong 2, Yu Hu 2, Lei He 2, Xianlong Hong 1 1 Tsinghua University 2 University of California,

More information

Double Patterning-Aware Detailed Routing with Mask Usage Balancing

Double Patterning-Aware Detailed Routing with Mask Usage Balancing Double Patterning-Aware Detailed Routing with Mask Usage Balancing Seong-I Lei Department of Computer Science National Tsing Hua University HsinChu, Taiwan Email: d9762804@oz.nthu.edu.tw Chris Chu Department

More information

IN CURRENT very large scale integration manufacturing

IN CURRENT very large scale integration manufacturing 1532 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 36, NO. 9, SEPTEMBER 2017 High Performance Dummy Fill Insertion With Coupling and Uniformity Constraints Yibo Lin,

More information

IN current VLSI manufacturing process, chemical mechanical

IN current VLSI manufacturing process, chemical mechanical High Performance Dummy Fill Insertion with Coupling and Uniformity Constraints Yibo Lin, Bei Yu Member, IEEE, and David Z. Pan Fellow, IEEE Abstract In deep-submicron VLSI manufacturing, dummy fills are

More information

Clock Tree Resynthesis for Multi-corner Multi-mode Timing Closure

Clock Tree Resynthesis for Multi-corner Multi-mode Timing Closure Clock Tree Resynthesis for Multi-corner Multi-mode Timing Closure Subhendu Roy 1, Pavlos M. Mattheakis 2, Laurent Masse-Navette 2 and David Z. Pan 1 1 ECE Department, The University of Texas at Austin

More information

Logic Synthesis for Energy-Efficient Photonic Integrated Circuits

Logic Synthesis for Energy-Efficient Photonic Integrated Circuits リレーションシップ ID rid4 のイメージパーツがファイルにありませんでした Logic Synthesis for Energy-Efficient Photonic Integrated Circuits Zheng Zhao, Zheng Wang, Zhoufeng Ying, Shounak Dhar, Ray T. Chen, and David Z. Pan Dept. of Electrical

More information

arxiv: v1 [cs.oh] 2 Aug 2014

arxiv: v1 [cs.oh] 2 Aug 2014 Triple Patterning Lithography (TPL) Layout Decomposition using End-Cutting Bei Yu a 1, Subhendu Roy a, Jhih-Rong Gao b, David Z. Pan a a ECE Department, University of Texas at Austin, Austin, Texas, United

More information

ECO-system: Embracing the Change in Placement

ECO-system: Embracing the Change in Placement Motivation ECO-system: Embracing the Change in Placement Jarrod A. Roy and Igor L. Markov University of Michigan at Ann Arbor Cong and Sarrafzadeh: state-of-the-art incremental placement techniques unfocused

More information

Topological Routing to Maximize Routability for Package Substrate

Topological Routing to Maximize Routability for Package Substrate Topological Routing to Maximize Routability for Package Substrate Speaker: Guoqiang Chen Authors: Shenghua Liu, Guoqiang Chen, Tom Tong Jing, Lei He, Tianpei Zhang, Robby Dutta, Xian-Long Hong Outline

More information

Timing Optimization During the Physical Synthesis of Cell-Based VLSI Circuits

Timing Optimization During the Physical Synthesis of Cell-Based VLSI Circuits 30º CTD - Concurso de Teses e Dissertações Timing Optimization During the Physical Synthesis of Cell-Based VLSI Circuits Aluno: Vinícius dos Santos Livramento 1 Orientador: Luiz Cláudio Villar dos Santos

More information

Fast Dual-V dd Buffering Based on Interconnect Prediction and Sampling

Fast Dual-V dd Buffering Based on Interconnect Prediction and Sampling Based on Interconnect Prediction and Sampling Yu Hu King Ho Tam Tom Tong Jing Lei He Electrical Engineering Department University of California at Los Angeles System Level Interconnect Prediction (SLIP),

More information

Layout Compliance for Triple Patterning Lithography: An Iterative Approach

Layout Compliance for Triple Patterning Lithography: An Iterative Approach Layout Compliane for Triple Patterning Lithography: An Iterative Approah Bei Yu, Gilda Garreton, David Z. Pan ECE Dept. University of Texas at Austin, Austin, TX, USA Orale Las, Orale Corporation, Redwood

More information

Planning for Local Net Congestion in Global Routing

Planning for Local Net Congestion in Global Routing Planning for Local Net Congestion in Global Routing Hamid Shojaei, Azadeh Davoodi, and Jeffrey Linderoth* Department of Electrical and Computer Engineering *Department of Industrial and Systems Engineering

More information

SWARM Tutorial. Chen Chen 4/12/2012

SWARM Tutorial. Chen Chen 4/12/2012 SWARM Tutorial Chen Chen 4/12/2012 1 Outline Introduction to SWARM Programming in SWARM Atomic Operations in SWARM Parallel For Loop in SWARM 2 Outline Introduction to SWARM Programming in SWARM Atomic

More information

Interconnect Delay and Area Estimation for Multiple-Pin Nets

Interconnect Delay and Area Estimation for Multiple-Pin Nets Interconnect Delay and Area Estimation for Multiple-Pin Nets Jason Cong and David Z. Pan UCLA Computer Science Department Los Angeles, CA 90095 Sponsored by SRC and Avant!! under CA-MICRO Presentation

More information

Electromigration-aware Redundant Via Insertion

Electromigration-aware Redundant Via Insertion ASP-DAC 15 Electromigration-aware Redundant Via Insertion Jan. 21 st, 2015 Jiwoo Pak, Bei Yu, David Z. Pan The University of Texas at Austin Outline 1. Introduction 2. EM modeling for redundant vias 3.

More information

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 20, NO. 3, MARCH

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 20, NO. 3, MARCH IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 20, NO. 3, MARCH 2012 459 NCTU-GR: Efficient Simulated Evolution-Based Rerouting and Congestion-Relaxed Layer Assignment on 3-D Global

More information

CATALYST: Planning Layer Directives for Effective Design Closure

CATALYST: Planning Layer Directives for Effective Design Closure CATALYST: Planning Layer Directives for Effective Design Closure Yaoguang Wei 1, Zhuo Li 2, Cliff Sze 2 Shiyan Hu 3, Charles J. Alpert 2, Sachin S. Sapatnekar 1 1 Department of Electrical and Computer

More information

Cell Density-driven Detailed Placement with Displacement Constraint

Cell Density-driven Detailed Placement with Displacement Constraint Cell Density-driven Detailed Placement with Displacement Constraint Wing-Kai Chow, Jian Kuang, Xu He, Wenzan Cai, Evangeline F. Y. Young Department of Computer Science and Engineering The Chinese University

More information

Double Patterning Layout Decomposition for Simultaneous Conflict and Stitch Minimization

Double Patterning Layout Decomposition for Simultaneous Conflict and Stitch Minimization Double Patterning Layout Decomposition for Simultaneous Conflict and Stitch Minimization Kun Yuan, Jae-Seo Yang, David Z. Pan Dept. of Electrical and Computer Engineering The University of Texas at Austin

More information

Tree Structure and Algorithms for Physical Design

Tree Structure and Algorithms for Physical Design Tree Structure and Algorithms for Physical Design Chung Kuan Cheng, Ronald Graham, Ilgweon Kang, Dongwon Park and Xinyuan Wang CSE and ECE Departments UC San Diego Outline: Introduction Ancestor Trees

More information

Crosslink Insertion for Variation-Driven Clock Network Construction

Crosslink Insertion for Variation-Driven Clock Network Construction Crosslink Insertion for Variation-Driven Clock Network Construction Fuqiang Qian, Haitong Tian, Evangeline Young Department of Computer Science and Engineering The Chinese University of Hong Kong {fqqian,

More information

Dirk Tetzlaff Technical University of Berlin

Dirk Tetzlaff Technical University of Berlin Software Engineering g for Embedded Systems Intelligent Task Mapping for MPSoCs using Machine Learning Dirk Tetzlaff Technical University of Berlin 3rd Workshop on Mapping of Applications to MPSoCs June

More information

Hybrid hotspot detection using regression model and lithography simulation

Hybrid hotspot detection using regression model and lithography simulation Hybrid hotspot detection using regression model and lithography simulation Taiki Kimura 1a, Tetsuaki Matsunawa a, Shigeki Nojima a and David Z. Pan b a Toshiba Corp. Semiconductor & Storage Products Company,

More information

S 1 S 2. C s1. C s2. S n. C sn. S 3 C s3. Input. l k S k C k. C 1 C 2 C k-1. R d

S 1 S 2. C s1. C s2. S n. C sn. S 3 C s3. Input. l k S k C k. C 1 C 2 C k-1. R d Interconnect Delay and Area Estimation for Multiple-Pin Nets Jason Cong and David Zhigang Pan Department of Computer Science University of California, Los Angeles, CA 90095 Email: fcong,pang@cs.ucla.edu

More information

HAI ZHOU. Evanston, IL Glenview, IL (847) (o) (847) (h)

HAI ZHOU. Evanston, IL Glenview, IL (847) (o) (847) (h) HAI ZHOU Electrical and Computer Engineering Northwestern University 2535 Happy Hollow Rd. Evanston, IL 60208-3118 Glenview, IL 60025 haizhou@ece.nwu.edu www.ece.nwu.edu/~haizhou (847) 491-4155 (o) (847)

More information

Routing Tree Construction with Buffer Insertion under Buffer Location Constraints and Wiring Obstacles

Routing Tree Construction with Buffer Insertion under Buffer Location Constraints and Wiring Obstacles Routing Tree Construction with Buffer Insertion under Buffer Location Constraints and Wiring Obstacles Ying Rao, Tianxiang Yang University of Wisconsin Madison {yrao, tyang}@cae.wisc.edu ABSTRACT Buffer

More information

Call for Participation

Call for Participation ACM International Symposium on Physical Design 2015 Blockage-Aware Detailed-Routing-Driven Placement Contest Call for Participation Start date: November 10, 2014 Registration deadline: December 30, 2014

More information

SSA: A Power and Memory Efficient Scheme to Multi-Match Packet Classification. Fang Yu, T.V. Lakshman, Martin Austin Motoyama, Randy H.

SSA: A Power and Memory Efficient Scheme to Multi-Match Packet Classification. Fang Yu, T.V. Lakshman, Martin Austin Motoyama, Randy H. SSA: A Power and Memory Efficient Scheme to Multi-Match Packet Classification Fang Yu, T.V. Lakshman, Martin Austin Motoyama, Randy H. Katz Presented by: Discussion led by: Sailesh Kumar Packet Classification

More information

Self-aligned Double Patterning Layout Decomposition with Complementary E-Beam Lithography

Self-aligned Double Patterning Layout Decomposition with Complementary E-Beam Lithography Self-aligned Double Patterning Layout Decomposition with Complementary E-Beam Lithography Jhih-Rong Gao, Bei Yu and David Z. Pan Dept. of Electrical and Computer Engineering The University of Texas at

More information

Timing Driven Force Directed Placement with Physical Net Constraints

Timing Driven Force Directed Placement with Physical Net Constraints Timing Driven Force Directed Placement with Physical Net Constraints Karthik Rajagopal Tal Shaked & University of Washington Yegna Parasuram Tung Cao Amit Chowdhary Bill Halpin & Syracuse University ABSTRACT

More information

Making Fast Buffer Insertion Even Faster Via Approximation Techniques

Making Fast Buffer Insertion Even Faster Via Approximation Techniques 1A-3 Making Fast Buffer Insertion Even Faster Via Approximation Techniques Zhuo Li 1,C.N.Sze 1, Charles J. Alpert 2, Jiang Hu 1, and Weiping Shi 1 1 Dept. of Electrical Engineering, Texas A&M University,

More information

Iterative-Constructive Standard Cell Placer for High Speed and Low Power

Iterative-Constructive Standard Cell Placer for High Speed and Low Power Iterative-Constructive Standard Cell Placer for High Speed and Low Power Sungjae Kim and Eugene Shragowitz Department of Computer Science and Engineering University of Minnesota, Minneapolis, MN 55455

More information

Basic Idea. The routing problem is typically solved using a twostep

Basic Idea. The routing problem is typically solved using a twostep Global Routing Basic Idea The routing problem is typically solved using a twostep approach: Global Routing Define the routing regions. Generate a tentative route for each net. Each net is assigned to a

More information

A Faster Approximation Scheme For Timing Driven Minimum Cost Layer Assignment

A Faster Approximation Scheme For Timing Driven Minimum Cost Layer Assignment A Faster Approximation Scheme For Timing Driven Minimum Cost Layer Assignment ABSTRACT Shiyan Hu Dept. of Electrical and Computer Engineering Michigan Technological University Houghton, Michigan 49931

More information

Electromigration-aware Redundant Via Insertion

Electromigration-aware Redundant Via Insertion Electromigration-aware Redundant Via Insertion Jiwoo Pak ECE Department University of Texas at Austin Austin, TX, USA jiwoo.pak@utexas.edu Bei Yu ECE Department University of Texas at Austin Austin, TX,

More information

Asia and South Pacific Design Automation Conference

Asia and South Pacific Design Automation Conference Asia and South Pacific Design Automation Conference Authors: Kuan-Yu Lin, Hong-Ting Lin, and Tsung-Yi Ho Presenter: Hong-Ting Lin chibli@csie.ncku.edu.tw http://eda.csie.ncku.edu.tw Electronic Design Automation

More information

A Hierarchical Bin-Based Legalizer for Standard-Cell Designs with Minimal Disturbance

A Hierarchical Bin-Based Legalizer for Standard-Cell Designs with Minimal Disturbance A Hierarchical Bin-Based Legalizer for Standard- Designs with Minimal Disturbance Yu-Min Lee, Tsung-You Wu, and Po-Yi Chiang Department of Electrical Engineering National Chiao Tung University ASPDAC,

More information

Generic Integer Linear Programming Formulation for 3D IC Partitioning

Generic Integer Linear Programming Formulation for 3D IC Partitioning JOURNAL OF INFORMATION SCIENCE AND ENGINEERING (ID: 100500, REVISED VERSION) Generic Integer Linear Programming Formulation for 3D IC Partitioning Department of Electronics Engineering & Institute of Electronics

More information

Metal Layer Planning for Silicon Interposers with Consideration of Routability and Manufacturing Cost *

Metal Layer Planning for Silicon Interposers with Consideration of Routability and Manufacturing Cost * Metal Layer Planning for Silicon Interposers with Consideration of Routability and Manufacturing Cost * Abstract A 2.5D IC provides a silicon interposer to integrate multiple dies into a package, which

More information

TCG-Based Multi-Bend Bus Driven Floorplanning

TCG-Based Multi-Bend Bus Driven Floorplanning TCG-Based Multi-Bend Bus Driven Floorplanning Tilen Ma Department of CSE The Chinese University of Hong Kong Shatin, N.T. Hong Kong Evangeline F.Y. Young Department of CSE The Chinese University of Hong

More information

Full-chip Multilevel Routing for Power and Signal Integrity

Full-chip Multilevel Routing for Power and Signal Integrity Full-chip Multilevel Routing for Power and Signal Integrity Jinjun Xiong Lei He Electrical Engineering Department, University of California at Los Angeles, CA, 90095, USA Abstract Conventional physical

More information

A Provably Good Approximation Algorithm for Rectangle Escape Problem with Application to PCB Routing

A Provably Good Approximation Algorithm for Rectangle Escape Problem with Application to PCB Routing A Provably Good Approximation Algorithm for Rectangle Escape Problem with Application to PCB Routing Qiang Ma Hui Kong Martin D. F. Wong Evangeline F. Y. Young Department of Electrical and Computer Engineering,

More information

General Models for Optimum Arbitrary-Dimension FPGA Switch Box Designs

General Models for Optimum Arbitrary-Dimension FPGA Switch Box Designs General Models for Optimum Arbitrary-Dimension FPGA Switch Box Designs Hongbing Fan Dept. of omputer Science University of Victoria Victoria B anada V8W P6 Jiping Liu Dept. of Math. & omp. Sci. University

More information

An Efficient Routing Tree Construction Algorithm with Buffer Insertion, Wire Sizing and Obstacle Considerations

An Efficient Routing Tree Construction Algorithm with Buffer Insertion, Wire Sizing and Obstacle Considerations An Efficient Routing Tree Construction Algorithm with uffer Insertion, Wire Sizing and Obstacle Considerations Sampath Dechu Zion Cien Shen Chris C N Chu Physical Design Automation Group Dept Of ECpE Dept

More information

A Local Optimal Method on DSA Guiding Template Assignment with Redundant/Dummy Via Insertion

A Local Optimal Method on DSA Guiding Template Assignment with Redundant/Dummy Via Insertion A Loca Optima Method on DSA Guiding Tempate Assignment with Redundant/Dummy Via Insertion Xingquan Li 1, Bei Yu 2, Jiani Chen 1, Wenxing Zhu 1, 24th Asia and South Pacific Design T h e p i c Automation

More information

Laplacian Eigenmaps and Bayesian Clustering Based Layout Pattern Sampling and Its Applications to Hotspot Detection and OPC

Laplacian Eigenmaps and Bayesian Clustering Based Layout Pattern Sampling and Its Applications to Hotspot Detection and OPC Laplacian Eigenmaps and Bayesian Clustering Based Layout Pattern Sampling and Its Applications to Hotspot Detection and OPC Tetsuaki Matsunawa 1, Bei Yu 2 and David Z. Pan 3 1 Toshiba Corporation 2 The

More information

A buffer planning algorithm for chip-level floorplanning

A buffer planning algorithm for chip-level floorplanning Science in China Ser. F Information Sciences 2004 Vol.47 No.6 763 776 763 A buffer planning algorithm for chip-level floorplanning CHEN Song 1, HONG Xianlong 1, DONG Sheqin 1, MA Yuchun 1, CAI Yici 1,

More information

ROUTING is a very important and the most timeconsuming

ROUTING is a very important and the most timeconsuming IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 37, NO. 1, JANUARY 2018 217 A Multicommodity Flow-Based Detailed Router With Efficient Acceleration Techniques Xiaotao

More information

Shared Cache Aware Task Mapping for WCRT Minimization

Shared Cache Aware Task Mapping for WCRT Minimization Shared Cache Aware Task Mapping for WCRT Minimization Huping Ding & Tulika Mitra School of Computing, National University of Singapore Yun Liang Center for Energy-efficient Computing and Applications,

More information

MAPLE: Multilevel Adaptive PLacEment for Mixed Size Designs

MAPLE: Multilevel Adaptive PLacEment for Mixed Size Designs MAPLE: Multilevel Adaptive PLacEment for Mixed Size Designs Myung Chul Kim, Natarajan Viswanathan, Charles J. Alpert, Igor L. Markov, Shyam Ramji Dept. of EECS, University of Michigan IBM Corporation 1

More information

An Interconnect-Centric Design Flow for Nanometer Technologies

An Interconnect-Centric Design Flow for Nanometer Technologies An Interconnect-Centric Design Flow for Nanometer Technologies Jason Cong UCLA Computer Science Department Email: cong@cs.ucla.edu Tel: 310-206-2775 URL: http://cadlab.cs.ucla.edu/~cong Exponential Device

More information

GRIP: Scalable 3D Global Routing Using Integer Programming

GRIP: Scalable 3D Global Routing Using Integer Programming GRIP: Scalable 3D Global Routing Using Integer Programming Tai-Hsuan Wu, Azadeh Davoodi Department of Electrical and Computer Engineering University of Wisconsin - Madison WI 53706 {twu3,adavoodi,linderoth}@wisc.edu

More information

Improving Logic Obfuscation via Logic Cone Analysis

Improving Logic Obfuscation via Logic Cone Analysis Improving Logic Obfuscation via Logic Cone Analysis Yu-Wei Lee and Nur A. Touba Computer Engineering Research Center University of Texas, Austin, TX 78712 ywlee@utexas.edu, touba@utexas.edu Abstract -

More information

Full-chip Routing Optimization with RLC Crosstalk Budgeting

Full-chip Routing Optimization with RLC Crosstalk Budgeting 1 Full-chip Routing Optimization with RLC Crosstalk Budgeting Jinjun Xiong, Lei He, Member, IEEE ABSTRACT Existing layout optimization methods for RLC crosstalk reduction assume a set of interconnects

More information

A Linear-Time Heuristic for Improving Network Partitions

A Linear-Time Heuristic for Improving Network Partitions A Linear-Time Heuristic for Improving Network Partitions ECE 556 Project Report Josh Brauer Introduction The Fiduccia-Matteyses min-cut heuristic provides an efficient solution to the problem of separating

More information

Congestion-Aware Power Grid. and CMOS Decoupling Capacitors. Pingqiang Zhou Karthikk Sridharan Sachin S. Sapatnekar

Congestion-Aware Power Grid. and CMOS Decoupling Capacitors. Pingqiang Zhou Karthikk Sridharan Sachin S. Sapatnekar Congestion-Aware Power Grid Optimization for 3D circuits Using MIM and CMOS Decoupling Capacitors Pingqiang Zhou Karthikk Sridharan Sachin S. Sapatnekar University of Minnesota 1 Outline Motivation A new

More information

Kyoung Hwan Lim and Taewhan Kim Seoul National University

Kyoung Hwan Lim and Taewhan Kim Seoul National University Kyoung Hwan Lim and Taewhan Kim Seoul National University Table of Contents Introduction Motivational Example The Proposed Algorithm Experimental Results Conclusion In synchronous circuit design, all sequential

More information

THE continuous increase of the problem size of IC routing

THE continuous increase of the problem size of IC routing 382 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 24, NO. 3, MARCH 2005 MARS A Multilevel Full-Chip Gridless Routing System Jason Cong, Fellow, IEEE, Jie Fang, Min

More information

Exploring Use-cases for Non-Volatile Memories in support of HPC Resilience

Exploring Use-cases for Non-Volatile Memories in support of HPC Resilience Exploring Use-cases for Non-Volatile Memories in support of HPC Resilience Onkar Patil 1, Saurabh Hukerikar 2, Frank Mueller 1, Christian Engelmann 2 1 Dept. of Computer Science, North Carolina State University

More information

WITH the need of industry for more and more smaller

WITH the need of industry for more and more smaller 1 Minimum Cost Layout Decomposition and Legalization for Triple Patterning Lithography Wenxing Zhu, Xingquan Li, Ziran Zhu Abstract With the need of 16/11nm cells, triple patterning lithography (TPL) has

More information

Clock Skew Optimization Considering Complicated Power Modes

Clock Skew Optimization Considering Complicated Power Modes Clock Skew Optimization Considering Complicated Power Modes Chiao-Ling Lung 1,2, Zi-Yi Zeng 1, Chung-Han Chou 1, Shih-Chieh Chang 1 National Tsing-Hua University, HsinChu, Taiwan 1 Industrial Technology

More information

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 36, NO. 8, AUGUST

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 36, NO. 8, AUGUST IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 36, NO. 8, AUGUST 017 187 Clustered Fault Tolerance TSV Planning for 3-D Integrated Circuits Qi Xu, Song Chen, Member,

More information

Wire Type Assignment for FPGA Routing

Wire Type Assignment for FPGA Routing Wire Type Assignment for FPGA Routing Seokjin Lee Department of Electrical and Computer Engineering The University of Texas at Austin Austin, TX 78712 seokjin@cs.utexas.edu Hua Xiang, D. F. Wong Department

More information

Cache Capacity Aware Thread Scheduling for Irregular Memory Access on Many-Core GPGPUs

Cache Capacity Aware Thread Scheduling for Irregular Memory Access on Many-Core GPGPUs Cache Capacity Aware Thread Scheduling for Irregular Memory Access on Many-Core GPGPUs Hsien-Kai Kuo, Ta-Kan Yen, Bo-Cheng Charles Lai and Jing-Yang Jou Department of Electronics Engineering National Chiao

More information

Achieving Lightweight Multicast in Asynchronous Networks-on-Chip Using Local Speculation

Achieving Lightweight Multicast in Asynchronous Networks-on-Chip Using Local Speculation Achieving Lightweight Multicast in Asynchronous Networks-on-Chip Using Local Speculation Kshitij Bhardwaj Dept. of Computer Science Columbia University Steven M. Nowick 2016 ACM/IEEE Design Automation

More information

Standard Cell Layout Regularity and Pin Access Optimization Considering Middle-of-Line

Standard Cell Layout Regularity and Pin Access Optimization Considering Middle-of-Line Standard Cell Layout Regularity and Pin Access Optimization Considering Middle-of-Line Wei Ye, Bei Yu, Yong-Chan Ban, Lars Liebmann, David Z. Pan ECE Department, University of Texas at Austin, Austin,

More information

DIGITAL CMOS VLSI CIRCUIT ROUTING WITH MANUFACTURABILITY AND YIELD CONSTRAINTS

DIGITAL CMOS VLSI CIRCUIT ROUTING WITH MANUFACTURABILITY AND YIELD CONSTRAINTS DIGITAL CMOS VLSI CIRCUIT ROUTING WITH MANUFACTURABILITY AND YIELD CONSTRAINTS A DISSERTATION SUBMITTED TO THE GRADUATE DIVISION OF THE UNIVERSITY OF HAWAI I AT MĀNOA IN PARTIAL FULFILLMENT OF THE REQUIREMENTS

More information

Mincut Placement with FM Partitioning featuring Terminal Propagation. Brett Wilson Lowe Dantzler

Mincut Placement with FM Partitioning featuring Terminal Propagation. Brett Wilson Lowe Dantzler Mincut Placement with FM Partitioning featuring Terminal Propagation Brett Wilson Lowe Dantzler Project Overview Perform Mincut Placement using the FM Algorithm to perform partitioning. Goals: Minimize

More information

ARCHITECTURE AND CAD FOR DEEP-SUBMICRON FPGAs

ARCHITECTURE AND CAD FOR DEEP-SUBMICRON FPGAs ARCHITECTURE AND CAD FOR DEEP-SUBMICRON FPGAs THE KLUWER INTERNATIONAL SERIES IN ENGINEERING AND COMPUTER SCIENCE ARCHITECTURE AND CAD FOR DEEP-SUBMICRON FPGAs Vaughn Betz Jonathan Rose Alexander Marquardt

More information

Chip-Level Verification for Parasitic Coupling Effects in Deep-Submicron Digital Designs

Chip-Level Verification for Parasitic Coupling Effects in Deep-Submicron Digital Designs Chip-Level Verification for Parasitic Coupling Effects in Deep-Submicron Digital Designs Lun Ye 1 Foong-Charn Chang 1 Peter Feldmann 1 Nagaraj NS 2 Rakesh Chadha 1 Frank Cano 3 1 Bell Laboratories, Murray

More information

applies to general (nontree) RC circuits can be eciently, globally optimized clock meshes busses with crosstalk Contribution dominant time constant as

applies to general (nontree) RC circuits can be eciently, globally optimized clock meshes busses with crosstalk Contribution dominant time constant as Optimal Wire and Transistor Sizing for Circuits With Non-Tree Topology Lieven Vandenberghe (UCLA) Stephen Boyd (Stanford University) Abbas El Gamal (Stanford University) applies to general (nontree) RC

More information

Thermal-aware Steiner Routing for 3D Stacked ICs

Thermal-aware Steiner Routing for 3D Stacked ICs Thermal-aware Steiner Routing for 3D Stacked ICs Mohit Pathak and Sung Kyu Lim School of Electrical and Computer Engineering Georgia Institute of Technology {mohitp, limsk}@ece.gatech.edu Abstract In this

More information

A New Methodology for Interconnect Parasitic Extraction Considering Photo-Lithography Effects

A New Methodology for Interconnect Parasitic Extraction Considering Photo-Lithography Effects A New Methodology for Interconnect Parasitic Extraction Considering Photo-Lithography Effects Ying Zhou, Yuxin Tian, Weiping Shi Texas A&M University Zhuo Li Pextra Corporation Frank Liu IBM Austin Research

More information

Postgrid Clock Routing for High Performance Microprocessor Designs

Postgrid Clock Routing for High Performance Microprocessor Designs IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 31, NO. 2, FEBRUARY 2012 255 Postgrid Clock Routing for High Performance Microprocessor Designs Haitong Tian, Wai-Chung

More information

University of California at Berkeley. Berkeley, CA the global routing in order to generate a feasible solution

University of California at Berkeley. Berkeley, CA the global routing in order to generate a feasible solution Post Routing Performance Optimization via Multi-Link Insertion and Non-Uniform Wiresizing Tianxiong Xue and Ernest S. Kuh Department of Electrical Engineering and Computer Sciences University of California

More information

Bi-Objective Optimization for Scheduling in Heterogeneous Computing Systems

Bi-Objective Optimization for Scheduling in Heterogeneous Computing Systems Bi-Objective Optimization for Scheduling in Heterogeneous Computing Systems Tony Maciejewski, Kyle Tarplee, Ryan Friese, and Howard Jay Siegel Department of Electrical and Computer Engineering Colorado

More information

Applying Multi-Core Model Checking to Hardware-Software Partitioning in Embedded Systems

Applying Multi-Core Model Checking to Hardware-Software Partitioning in Embedded Systems V Brazilian Symposium on Computing Systems Engineering Applying Multi-Core Model Checking to Hardware-Software Partitioning in Embedded Systems Alessandro Trindade, Hussama Ismail, and Lucas Cordeiro Foz

More information

ITOP: Integrating Timing Optimization within Placement

ITOP: Integrating Timing Optimization within Placement ITOP: Integrating Timing Optimization within Placement Natarajan Viswanathan, Gi-Joon Nam, Jarrod A. Roy, Zhuo Li, Charles J. Alpert, Shyam Ramji, Chris Chu IBM Austin Research Laboratory, 11501 Burnet

More information

An Interconnect-Centric Design Flow for Nanometer Technologies

An Interconnect-Centric Design Flow for Nanometer Technologies An Interconnect-Centric Design Flow for Nanometer Technologies Professor Jason Cong UCLA Computer Science Department Los Angeles, CA 90095 http://cadlab.cs.ucla.edu/~ /~cong

More information

Thermal-Aware 3D IC Placement Via Transformation

Thermal-Aware 3D IC Placement Via Transformation Thermal-Aware 3D IC Placement Via Transformation Jason Cong, Guojie Luo, Jie Wei and Yan Zhang Department of Computer Science University of California, Los Angeles Los Angeles, CA 90095 Email: { cong,

More information

A Novel Performance-Driven Topology Design Algorithm

A Novel Performance-Driven Topology Design Algorithm A Novel Performance-Driven Topology Design Algorithm Min Pan, Chris Chu Priyadarshan Patra Electrical and Computer Engineering Dept. Intel Corporation Iowa State University, Ames, IA 50011 Hillsboro, OR

More information

Incremental Timing-Driven Placement with Displacement Constraint

Incremental Timing-Driven Placement with Displacement Constraint Incremental Timing-Driven Placement with Displacement Constraint by Jude Arun Selvan Jesuthasan A thesis presented to the University of Waterloo in fulfillment of the thesis requirement for the degree

More information

Routing Path Reuse Maximization for Efficient NV-FPGA Reconfiguration

Routing Path Reuse Maximization for Efficient NV-FPGA Reconfiguration Routing Path Reuse Maximization for Efficient NV-FPGA Reconfiguration Yuan Xue, Patrick ronin, hengmo Yang and Jingtong Hu 01/27/2016 Outline Introduction NV-FPGA benefits and challenges Routing optimization

More information

Planning for Local Net Congestion in Global Routing

Planning for Local Net Congestion in Global Routing Planning for Local Net Congestion in Global Routing Hamid Shojaei, Azadeh Davoodi Department of Electrical & Computer Engineering University of Wisconsin - Madison WI 53706 {shojaei,adavoodi,linderoth}@wisc.edu

More information

PARR: Pin-Access Planning and Regular Routing for Self-Aligned Double Patterning

PARR: Pin-Access Planning and Regular Routing for Self-Aligned Double Patterning PARR: Pin-Access Planning and Regular Routing for Self-Aligned Double Patterning XIAOQING XU, The University of Texas at Austin BEI YU, The Chinese University of Hong Kong JHIH-RONG GAO, CHE-LUN HSU, and

More information

Scheduling FFT Computation on SMP and Multicore Systems Ayaz Ali, Lennart Johnsson & Jaspal Subhlok

Scheduling FFT Computation on SMP and Multicore Systems Ayaz Ali, Lennart Johnsson & Jaspal Subhlok Scheduling FFT Computation on SMP and Multicore Systems Ayaz Ali, Lennart Johnsson & Jaspal Subhlok Texas Learning and Computation Center Department of Computer Science University of Houston Outline Motivation

More information

Multilevel Algorithms for Multi-Constraint Hypergraph Partitioning

Multilevel Algorithms for Multi-Constraint Hypergraph Partitioning Multilevel Algorithms for Multi-Constraint Hypergraph Partitioning George Karypis University of Minnesota, Department of Computer Science / Army HPC Research Center Minneapolis, MN 55455 Technical Report

More information

Scan Primitives for GPU Computing

Scan Primitives for GPU Computing Scan Primitives for GPU Computing Shubho Sengupta, Mark Harris *, Yao Zhang, John Owens University of California Davis, *NVIDIA Corporation Motivation Raw compute power and bandwidth of GPUs increasing

More information

Abbas El Gamal. Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program. Stanford University

Abbas El Gamal. Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program. Stanford University Abbas El Gamal Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program Stanford University Chip stacking Vertical interconnect density < 20/mm Wafer Stacking

More information

Place and Route for FPGAs

Place and Route for FPGAs Place and Route for FPGAs 1 FPGA CAD Flow Circuit description (VHDL, schematic,...) Synthesize to logic blocks Place logic blocks in FPGA Physical design Route connections between logic blocks FPGA programming

More information

Interconnect Delay Aware RTL Verilog Bus Architecture Generation for an SoC

Interconnect Delay Aware RTL Verilog Bus Architecture Generation for an SoC Interconnect Delay Aware RTL Verilog Bus Architecture Generation for an SoC Kyeong Ryu, Alexandru Talpasanu, Vincent Mooney and Jeffrey Davis School of Electrical and Computer Engineering Georgia Institute

More information

PERFORMANCE optimization has always been a critical

PERFORMANCE optimization has always been a critical IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 18, NO. 11, NOVEMBER 1999 1633 Buffer Insertion for Noise and Delay Optimization Charles J. Alpert, Member, IEEE, Anirudh

More information