Double Patterning Layout Decomposition for Simultaneous Conflict and Stitch Minimization

Size: px
Start display at page:

Download "Double Patterning Layout Decomposition for Simultaneous Conflict and Stitch Minimization"

Transcription

1 Double Patterning Layout Decomposition for Simultaneous Conflict and Stitch Minimization Kun Yuan, Jae-Seo Yang, David Z. Pan Dept. of Electrical and Computer Engineering The University of Texas at Austin Sponsored in Part by NSF, SRC, Sun, Qualcomm, and equipment donations from Intel 1

2 Outline Bacground and Motivation Simultaneous Conflict and Stitch Minimization Grid Model Basic ILP formulation Speed-Up techniques Experimental Result Conclusion 2

3 Lithography Challenges Aggressive scaling of min. printable half pitch HP HP = λ 1 NA 1: process difficulty NA: numerical aperture λ: wavelength of source NA = 1.8, close to the limit EUV(13.5nm) not available in near future 3

4 Double Patterning Lithography (DPL) Mas 1 4 Mas 2 Most liely lithography solution for 32nm and beyond A layout is decomposed into two mass (colors) The effective pitch is doubled Manufactured through 2x exposures/etches. Layout Decomposition. However, it is NOT a trivial tas

5 Layout Decomposition Challenges stitch conflict mincs mincs mincs Overlay conflict Redesign mincs Coloring Conflict: Two features within minimum coloring spacing should be colored different Splitting Stitch May resolve the conflict but cause yield loss due to overlay. 5

6 Existing Wor Heuristic approaches [Drapeau+, SPIE 2007] Greedy coloring and splitting in two stages ILP based layout decomposition [Kahng+, ICCAD 2008] Layout fracturing. Detect the uncolorable conflict cycle with odd number of nodes, and remove them by splitting the features ILP is then applied to minimize the number of stitches. 6

7 Motivation for Simultaneous Optimization Node Splitting 7 ILP formulation

8 Outline Bacground and Motivation Simultaneous Conflict and Stitch Minimization Grid Model Basic ILP formulation Speed-Up techniques Experimental Result Conclusion 8

9 Grid Model Map the layout into grids The size of each grids is half of the pitch of the original design Offer sufficient stitch candidates for large solution space Minimize the conflict and stitch between layout grids mincs mincs 9

10 Stitch Grid Pair (SGP) Adjacent grids A and B are assigned to different mass A B stitch 10

11 Conflict Grid Pair (CGP) Two grids within minimum coloring distance are assigned into the same mas Boundingbox-Connected (BB-connected) case should be excluded. A B A B A B CGP NOT CGP CGP 11

12 Basic ILP Formulation Coloring binary variable x i = 1 (Red), 0 (Blue) i is the unique id of each grid Objectives mincs Min si, j+ α cm, n si, j SP cm, n CP Binary variables s and c = 1 if there is a SGP and CGP respectively i,j m,n SP or CP are the set of the grid pairs which could form a SGP or CGP. 12

13 Detect SGP Logic equations x i = 1 (Red), 0 (Blue) xx a b a, b xx = 1 s = 1 = 1 s = 1 a b a, b A B Linear Constraints x + (1 x ) 1+ s a b a, b (1 x ) + x 1+ s a b a, b 13

14 Detect CGP Logic equations xx a b = 1 && No RED BB-connected c = 1 xx a b ab, = 1 && No BLUE BB-connected c = 1 ab, x i = 1 (Red), 0 (Blue) A B C D Linear Constraints x + x 1+ c + r a b ab, ab, (1 x ) + (1 x ) 1+ c + b Binary variables r ab ab,, and = 1 b ab, = 1 if if there is is BB-connected in in RED and BLUE respectively : The set of of possible BB-connected paths. a b a, b a, b 14 1 r ab, : Grids C and D are Red 1 b ab, : Grids C and D are Blue

15 Detect BB-connected case Logic Equation x i = 1 (Red), 0 (Blue) r pq, uv x e, f eg r = e, f Ppq, uv 1.. ab, = xx c d x A B C D Linear Constraints x x e, f Ppq, uv e, f Ppq, uv n pq, uv ( 1) x n + r e, f pq, uv pq, uv ( ) (1 x ) n 1 r e, f pq, uv pq, uv is the number of grids in the path eg.. ( 2 1) x + x + r 1 c d a, b ( ) ( 1 ), (1 x ) + 1 x 2 1 r c d a b 15

16 Speed-Up Techniques: Independent Component Computation Independent Components: Isolated layout clusters without possible SGP and CGPs between them. They can be solved individually, and the solution can be simply merged without losing optimality in terms of ILP objectives. 16

17 Speedup Techniques: Layout Partition There could still be large design which has prohibitive problem size even after independent component computation We can apply min-cut partition to divide a large components to several small connected ones 17

18 Coloring Flipping for Layout Partition CGP B B A C A C SGP We can flip the coloring of certain partition to obtain better resolution across the boundaries 18

19 ILP Formulation for Coloring Flipping Binary Variable f i = 0 (Not flipped), 1 (Flipped) for partition i B f f i, j i, j = = 0 if both i and j flip or do not flip 1 if only one of i and j flips A C Objective min ( f ( s + αc ) + (1 f )( s + αc )) s e0/ e1 e0/ e1 i, j i, j e0 e0 e1 e1 i, j i, j i, j i, j i, j i, j and c are the stitch and conflict crossing the boundary Constraints f = ff + ff i, j i j i j 19

20 Experimental Setup Implement in C++. Comparative two-phase approach First Phase:» Color all the layout polygons sequentially.» Assign colors to minimize current conflicts. Second Phase:» Detect the coloring conflict segments» Flip the coloring these segments to resolve the conflicts. 20

21 Benchmar Eight scaled testcases 2 Circuit Area( ) Grid size Layout grids μm c x c x c x c x c x c x c x c x

22 Coloring Conflict and Splitting Stitch 8x reduction on coloring conflict 33% less stitches 22

23 Scalability The runtime is in reasonable scope our algorithm linear fitting Runtime The complexity shows linearity with number of occupied grids in test cases x 10 4 #occupied grid 23

24 Conclusion Double patterning layout decomposition for simultaneous conflict and stitch minimization Grid model, integer linear programming, Independent component computation, layout partition Explore DPL-friendly design methodology DPL-aware detailed routing with redundant via consideration. DPL-aware standard cell design. Special thans to Dr. Minsi Cho at IBM research 24

25 BacUp 25

26 Coloring Flipping 70% less conflicts 40% less stitches 26

27 ILP Constraints for Coloring Flipping Logic equations f = ff + ff i, j i j i j B Linear Constraints A C ff f f+ f 1 + f i j i, j i j i, j f f f (1 f ) + (1 f ) 1+ f i j i, j i j i, j f f f f + (1 f ) 1 + (1 f ) j i, j i j i, j f f f (1 f ) + f 1 + (1 f ) i j i, j i j i, j 27

Double Patterning-Aware Detailed Routing with Mask Usage Balancing

Double Patterning-Aware Detailed Routing with Mask Usage Balancing Double Patterning-Aware Detailed Routing with Mask Usage Balancing Seong-I Lei Department of Computer Science National Tsing Hua University HsinChu, Taiwan Email: d9762804@oz.nthu.edu.tw Chris Chu Department

More information

Self-aligned Double Patterning Layout Decomposition with Complementary E-Beam Lithography

Self-aligned Double Patterning Layout Decomposition with Complementary E-Beam Lithography Self-aligned Double Patterning Layout Decomposition with Complementary E-Beam Lithography Jhih-Rong Gao, Bei Yu and David Z. Pan Dept. of Electrical and Computer Engineering The University of Texas at

More information

arxiv: v1 [cs.oh] 2 Aug 2014

arxiv: v1 [cs.oh] 2 Aug 2014 Triple Patterning Lithography (TPL) Layout Decomposition using End-Cutting Bei Yu a 1, Subhendu Roy a, Jhih-Rong Gao b, David Z. Pan a a ECE Department, University of Texas at Austin, Austin, Texas, United

More information

AS the minimum feature size decreases, semiconductor

AS the minimum feature size decreases, semiconductor IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 29, NO. 2, FEBRUARY 2010 185 Double Patterning Layout Decomposition for Simultaneous Conflict and Stitch Minimization

More information

E-BLOW: E-Beam Lithography Overlapping aware Stencil Planning for MCC System

E-BLOW: E-Beam Lithography Overlapping aware Stencil Planning for MCC System E-BLOW: E-Beam Lithography Overlapping aware Stencil Planning for MCC System Bei Yu, Kun Yuan*, Jhih-Rong Gao, and David Z. Pan ECE Dept. University of Texas at Austin, TX *Cadence Inc., CA Supported in

More information

A New Graph Theoretic, Multi Objective Layout Decomposition Framework for Double Patterning Lithography

A New Graph Theoretic, Multi Objective Layout Decomposition Framework for Double Patterning Lithography A New Graph Theoretic, Multi Objective Layout Decomposition Framework for Double Patterning Lithography Jae-Seok Yang, Katrina Lu, Minsik Cho, Kun Yuan, and David Z. Pan Dept. of ECE, The University of

More information

Stitch Aware Detailed Placement for Multiple E-Beam Lithography

Stitch Aware Detailed Placement for Multiple E-Beam Lithography Stitch Aware Detailed Placement for Multiple E-Beam Lithography Yibo Lin 1, Bei Yu 2, Yi Zou 1,3, Zhuo Li 4, Charles J. Alpert 4, and David Z. Pan 1 1 ECE Department, University of Texas at Austin 2 CSE

More information

A Novel Methodology for Triple/Multiple-Patterning Layout Decomposition

A Novel Methodology for Triple/Multiple-Patterning Layout Decomposition A Novel Methodology for Triple/Multiple-Patterning Layout Decomposition Rani S. Ghaida 1, Kanak B. Agarwal 2, Lars W. Liebmann 3, Sani R. Nassif 2, Puneet Gupta 1 1 UCLA, Electrical Engineering Dept. 2

More information

WITH the need of industry for more and more smaller

WITH the need of industry for more and more smaller 1 Minimum Cost Layout Decomposition and Legalization for Triple Patterning Lithography Wenxing Zhu, Xingquan Li, Ziran Zhu Abstract With the need of 16/11nm cells, triple patterning lithography (TPL) has

More information

A Framework for Double Patterning-Enabled Design

A Framework for Double Patterning-Enabled Design A Framework for Double Patterning-Enabled Design Rani S. Ghaida, Kanak B. Agarwal, Sani R. Nassif, Xin Yuan, Lars W. Liebmann, Puneet Gupta UCLA, Electrical Engineering Dept. IBM Corp., Austin Research

More information

On Coloring and Colorability Analysis of Integrated Circuits with Triple and Quadruple Patterning Techniques. Alexey Lvov Gus Tellez Gi-Joon Nam

On Coloring and Colorability Analysis of Integrated Circuits with Triple and Quadruple Patterning Techniques. Alexey Lvov Gus Tellez Gi-Joon Nam On Coloring and Colorability Analysis of Integrated Circuits with Triple and Quadruple Patterning Techniques Alexey Lvov Gus Tellez Gi-Joon Nam Background and motivation Manaufacturing difficulty 22nm:

More information

GREMA: Graph Reduction Based Efficient Mask Assignment for Double Patterning Technology

GREMA: Graph Reduction Based Efficient Mask Assignment for Double Patterning Technology REMA: raph Reduction Based Efficient Mask Assignment for Double Patterning Technology ABSTRACT Yue Xu Electrical and Computer Engineering owa State University Ames, A 50011 yuexu@iastate.edu Double patterning

More information

ILP-Based Co-Optimization of Cut Mask Layout, Dummy Fill and Timing for Sub-14nm BEOL Technology

ILP-Based Co-Optimization of Cut Mask Layout, Dummy Fill and Timing for Sub-14nm BEOL Technology ILP-Based Co-Optimization of Cut Mask Layout, Dummy Fill and Timing for Sub-14nm BEOL Technology ABSTRACT Kwangsoo Han, Andrew B. Kahng, Hyein Lee and, Lutong Wang ECE and CSE Depts., University of California

More information

Detailed Routing for Spacer-Is-Metal Type Self-Aligned Double/Quadruple Patterning Lithography

Detailed Routing for Spacer-Is-Metal Type Self-Aligned Double/Quadruple Patterning Lithography Detailed Routing for Spacer-Is-Metal Type Self-Aligned Double/Quadruple Patterning Lithography Yixiao Ding Department of Electrical and Computer Engineering Iowa State University Ames, IA 50010, USA yxding@iastate.edu

More information

Layout Compliance for Triple Patterning Lithography: An Iterative Approach

Layout Compliance for Triple Patterning Lithography: An Iterative Approach Layout Compliane for Triple Patterning Lithography: An Iterative Approah Bei Yu, Gilda Garreton, David Z. Pan ECE Dept. University of Texas at Austin, Austin, TX, USA Orale Las, Orale Corporation, Redwood

More information

Self-Aligned Double Patterning Lithography Aware Detailed Routing with Color Pre-Assignment

Self-Aligned Double Patterning Lithography Aware Detailed Routing with Color Pre-Assignment IEEE TRANSACTION ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS 1 Self-Aligned Double Patterning Lithography Aware Detailed Routing with Color Pre-Assignment Yixiao Ding, Student Member, IEEE,

More information

Self-Aligned Double Patterning-Aware Detailed Routing with Double Via Insertion and Via Manufacturability Consideration

Self-Aligned Double Patterning-Aware Detailed Routing with Double Via Insertion and Via Manufacturability Consideration SUBMIT TO IEEE TRANSACTION ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS FOR REVIEW 1 Self-Aligned Double Patterning-Aware Detailed Routing with Double Via Insertion and Via Manufacturability

More information

Pushing 193i lithography by Joint optimization of Layout and Lithography

Pushing 193i lithography by Joint optimization of Layout and Lithography Pushing 193i lithography by Joint optimization of Layout and Lithography Peter De Bisschop Imec, Leuven, Belgium Semicon Europe Messe Dresden, Germany Lithography session October 12, 2011 Semiconductor-Industry

More information

PieceTimer: A Holistic Timing Analysis Framework Considering Setup/Hold Time Interdependency Using A Piecewise Model

PieceTimer: A Holistic Timing Analysis Framework Considering Setup/Hold Time Interdependency Using A Piecewise Model PieceTimer: A Holistic Timing Analysis Framewor Considering Setup/Hold Time Interdependency Using A Piecewise Model Grace Li Zhang, Bing Li, Ulf Schlichtmann Institute for Electronic Design Automation

More information

TRIPLE patterning lithography (TPL) is regarded as

TRIPLE patterning lithography (TPL) is regarded as IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 24, NO. 4, APRIL 2016 1319 Triple Patterning Lithography Aware Optimization and Detailed Placement Algorithms for Standard Cell-Based

More information

Native conflict awared layout decomposition in triple patterning lithography using bin-based library matching method

Native conflict awared layout decomposition in triple patterning lithography using bin-based library matching method Native conflict awared layout decomposition in triple patterning lithography using bin-based library matching method Xianhua Ke, Hao Jiang, Wen Lv, and Shiyuan Liu * State Key Laboratory of Digital Manufacturing

More information

TRIAD: A Triple Patterning Lithography Aware Detailed Router

TRIAD: A Triple Patterning Lithography Aware Detailed Router RIAD: A riple Patterning Lithography Aware Detailed Router Yen-Hung Lin 1,Bei Yu 2, David Z. Pan 2, and Yih-Lang Li 1 1 Department of Computer Science, National Chiao ung University, Hsinchu, aiwan 2 Department

More information

Kyoung Hwan Lim and Taewhan Kim Seoul National University

Kyoung Hwan Lim and Taewhan Kim Seoul National University Kyoung Hwan Lim and Taewhan Kim Seoul National University Table of Contents Introduction Motivational Example The Proposed Algorithm Experimental Results Conclusion In synchronous circuit design, all sequential

More information

Planning for Local Net Congestion in Global Routing

Planning for Local Net Congestion in Global Routing Planning for Local Net Congestion in Global Routing Hamid Shojaei, Azadeh Davoodi, and Jeffrey Linderoth* Department of Electrical and Computer Engineering *Department of Industrial and Systems Engineering

More information

Minimum Implant Area-Aware Placement and Threshold Voltage Refinement

Minimum Implant Area-Aware Placement and Threshold Voltage Refinement Minimum Implant Area-Aware Placement and Threshold Voltage Refinement Seong-I Lei Wai-Kei Ma Chris Chu Department of Computer Science Department of Computer Science Department of Electrical and Computer

More information

Systematic framework for evaluating standard cell middle-of-line robustness for multiple patterning lithography

Systematic framework for evaluating standard cell middle-of-line robustness for multiple patterning lithography Systematic framework for evaluating standard cell middle-of-line robustness for multiple patterning lithography Xiaoqing Xu Brian Cline Greg Yeric Bei Yu David Z. Pan J. Micro/Nanolith. MEMS MOEMS 15(2),

More information

Overlapping-aware Throughput-driven Stencil Planning for E-Beam Lithography

Overlapping-aware Throughput-driven Stencil Planning for E-Beam Lithography Overlapping-aware Throughput-driven Stencil Planning for E-Beam Lithography Jian Kuang and Evangeline F.Y. Young Department of Computer Science and Engineering The Chinese University of Hong Kong, Shatin,

More information

Fast Dual-V dd Buffering Based on Interconnect Prediction and Sampling

Fast Dual-V dd Buffering Based on Interconnect Prediction and Sampling Based on Interconnect Prediction and Sampling Yu Hu King Ho Tam Tom Tong Jing Lei He Electrical Engineering Department University of California at Los Angeles System Level Interconnect Prediction (SLIP),

More information

Toward the joint design of electronic and optical layer protection

Toward the joint design of electronic and optical layer protection Toward the joint design of electronic and optical layer protection Massachusetts Institute of Technology Slide 1 Slide 2 CHALLENGES: - SEAMLESS CONNECTIVITY - MULTI-MEDIA (FIBER,SATCOM,WIRELESS) - HETEROGENEOUS

More information

DpRouter: A Fast and Accurate Dynamic- Pattern-Based Global Routing Algorithm

DpRouter: A Fast and Accurate Dynamic- Pattern-Based Global Routing Algorithm DpRouter: A Fast and Accurate Dynamic- Pattern-Based Global Routing Algorithm Zhen Cao 1,Tong Jing 1, 2, Jinjun Xiong 2, Yu Hu 2, Lei He 2, Xianlong Hong 1 1 Tsinghua University 2 University of California,

More information

A Framework for Systematic Evaluation and Exploration of Design Rules

A Framework for Systematic Evaluation and Exploration of Design Rules A Framework for Systematic Evaluation and Exploration of Design Rules Rani S. Ghaida* and Prof. Puneet Gupta EE Dept., University of California, Los Angeles (rani@ee.ucla.edu), (puneet@ee.ucla.edu) Work

More information

arxiv: v1 [cs.ar] 11 Feb 2014

arxiv: v1 [cs.ar] 11 Feb 2014 Self-aligned Double Patterning Friendly Configuration for Standard Cell Library Considering Placement Impact Jhih-Rong Gao, Bei Yu, Ru Huang *, and David Z. Pan ECE Dept. Univ. of Texas at Austin, Austin,

More information

FastPlace 2.0: An Efficient Analytical Placer for Mixed- Mode Designs

FastPlace 2.0: An Efficient Analytical Placer for Mixed- Mode Designs FastPlace.0: An Efficient Analytical Placer for Mixed- Mode Designs Natarajan Viswanathan Min Pan Chris Chu Iowa State University ASP-DAC 006 Work supported by SRC under Task ID: 106.001 Mixed-Mode Placement

More information

On Refining Standard Cell Placement for Self-aligned Double Patterning *

On Refining Standard Cell Placement for Self-aligned Double Patterning * On Refining Standard Cell Placement for Self-aligned Double Patterning * Ye-Hong Chen, Sheng-He Wang and Ting-Chi Wang Department of Computer Science, National Tsing Hua University, Hsinchu City 30013,

More information

726 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 34, NO. 5, MAY 2015

726 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 34, NO. 5, MAY 2015 726 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 34, NO. 5, MAY 2015 Methodology for Standard Cell Compliance and Detailed Placement for Triple Patterning Lithography

More information

Metal-Density Driven Placement for CMP Variation and Routability

Metal-Density Driven Placement for CMP Variation and Routability Metal-Density Driven Placement for CMP Variation and Routability ISPD-2008 Tung-Chieh Chen 1, Minsik Cho 2, David Z. Pan 2, and Yao-Wen Chang 1 1 Dept. of EE, National Taiwan University 2 Dept. of ECE,

More information

Electromigration-aware Redundant Via Insertion

Electromigration-aware Redundant Via Insertion ASP-DAC 15 Electromigration-aware Redundant Via Insertion Jan. 21 st, 2015 Jiwoo Pak, Bei Yu, David Z. Pan The University of Texas at Austin Outline 1. Introduction 2. EM modeling for redundant vias 3.

More information

DESIGN-TECHNOLOGY CO-OPTIMIZATION IN NEXT GENERATION LITHOGRAPHY HONGBO ZHANG DISSERTATION

DESIGN-TECHNOLOGY CO-OPTIMIZATION IN NEXT GENERATION LITHOGRAPHY HONGBO ZHANG DISSERTATION c 2012 Hongbo Zhang DESIGN-TECHNOLOGY CO-OPTIMIZATION IN NEXT GENERATION LITHOGRAPHY BY HONGBO ZHANG DISSERTATION Submitted in partial fulfillment of the requirements for the degree of Doctor of Philosophy

More information

Clock Tree Resynthesis for Multi-corner Multi-mode Timing Closure

Clock Tree Resynthesis for Multi-corner Multi-mode Timing Closure Clock Tree Resynthesis for Multi-corner Multi-mode Timing Closure Subhendu Roy 1, Pavlos M. Mattheakis 2, Laurent Masse-Navette 2 and David Z. Pan 1 1 ECE Department, The University of Texas at Austin

More information

Extracting Communities from Networks

Extracting Communities from Networks Extracting Communities from Networks Ji Zhu Department of Statistics, University of Michigan Joint work with Yunpeng Zhao and Elizaveta Levina Outline Review of community detection Community extraction

More information

On Comparing Conventional and Electrically Driven OPC Techniques

On Comparing Conventional and Electrically Driven OPC Techniques On Comparing Conventional and Electrically Driven OPC Techniques Dominic Reinhard and Puneet Gupta EE Dept., University of California, Los Angeles {dominicr,puneet}@ee.ucla.edu ABSTRACT This paper compares

More information

Connectivity-aware Virtual Network Embedding

Connectivity-aware Virtual Network Embedding Connectivity-aware Virtual Network Embedding Nashid Shahriar, Reaz Ahmed, Shihabur R. Chowdhury, Md Mashrur Alam Khan, Raouf Boutaba Jeebak Mitra, Feng Zeng Outline Survivability in Virtual Network Embedding

More information

An Interconnect-Centric Design Flow for Nanometer Technologies. Outline

An Interconnect-Centric Design Flow for Nanometer Technologies. Outline An Interconnect-Centric Design Flow for Nanometer Technologies Jason Cong UCLA Computer Science Department Email: cong@cs.ucla.edu Tel: 310-206-2775 http://cadlab.cs.ucla.edu/~cong Outline Global interconnects

More information

Minimizing Clock Domain Crossing in Network on Chip Interconnect

Minimizing Clock Domain Crossing in Network on Chip Interconnect Minimizing Clock Domain Crossing in Network on Chip Interconnect Parag Kulkarni 1, Puneet Gupta 2, Rudy Beraha 3 1 Synopsys 2 UCLA 3 Qualcomm Corp. R&D Outline Motivation The Router Coloring Problem Approaches

More information

Auxiliary Pattern for Cell-Based OPC

Auxiliary Pattern for Cell-Based OPC Auxiliary Pattern for Cell-Based OPC Andrew B. Kahng a,b,c and Chul-Hong Park a a UCSD ECE Department, La Jolla, CA b UCSD CSE Department, La Jolla, CA c Blaze DFM, Inc., Sunnyvale, California ABSTRACT

More information

Basic Idea. The routing problem is typically solved using a twostep

Basic Idea. The routing problem is typically solved using a twostep Global Routing Basic Idea The routing problem is typically solved using a twostep approach: Global Routing Define the routing regions. Generate a tentative route for each net. Each net is assigned to a

More information

I. Introduction DOUBLE patterning lithography (DPL) has been gaining

I. Introduction DOUBLE patterning lithography (DPL) has been gaining IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 29, NO. 8, AUGUST 2010 1229 Timing Yield-Aware Color Reassignment and Detailed Placement Perturbation for Bimodal CD

More information

Flexible 2D Layout Decomposition Framework for Spacer-Type Double Pattering Lithography

Flexible 2D Layout Decomposition Framework for Spacer-Type Double Pattering Lithography Flexible 2D Layout Decomposition Framework for Spacer-Type Double Pattering Lithography Yongchan an, Kevin Lucas* and David Pan Department of EE, The University of Texas at ustin, ustin, TX US *Synopsys

More information

Variation Tolerant Buffered Clock Network Synthesis with Cross Links

Variation Tolerant Buffered Clock Network Synthesis with Cross Links Variation Tolerant Buffered Clock Network Synthesis with Cross Links Anand Rajaram David Z. Pan Dept. of ECE, UT-Austin Texas Instruments, Dallas Sponsored by SRC and IBM Faculty Award 1 Presentation Outline

More information

MULTI-REGION SEGMENTATION

MULTI-REGION SEGMENTATION MULTI-REGION SEGMENTATION USING GRAPH-CUTS Johannes Ulén Abstract This project deals with multi-region segmenation using graph-cuts and is mainly based on a paper by Delong and Boykov [1]. The difference

More information

IN CURRENT very large scale integration manufacturing

IN CURRENT very large scale integration manufacturing 1532 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 36, NO. 9, SEPTEMBER 2017 High Performance Dummy Fill Insertion With Coupling and Uniformity Constraints Yibo Lin,

More information

Advanced multi-patterning and hybrid lithography techniques. Fedor G Pikus, J. Andres Torres

Advanced multi-patterning and hybrid lithography techniques. Fedor G Pikus, J. Andres Torres Advanced multi-patterning and hybrid lithography techniques Fedor G Pikus, J. Andres Torres Outline Need for advanced patterning technologies Multipatterning (MP) technologies What is multipatterning?

More information

Symmetrical Buffered Clock-Tree Synthesis with Supply-Voltage Alignment

Symmetrical Buffered Clock-Tree Synthesis with Supply-Voltage Alignment Symmetrical Buffered Clock-Tree Synthesis with Supply-Voltage Alignment Xin-Wei Shih, Tzu-Hsuan Hsu, Hsu-Chieh Lee, Yao-Wen Chang, Kai-Yuan Chao 2013.01.24 1 Outline 2 Clock Network Synthesis Clock network

More information

Accelerating 20nm Double Patterning Verification with IC Validator

Accelerating 20nm Double Patterning Verification with IC Validator White Paper Accelerating 20nm Double Patterning Verification with IC Validator Author Paul Friedberg Corporate Applications Engineering Stelios Diamantidis Product Marketing Abstract The emergence of Double

More information

Algorithms and Applications

Algorithms and Applications Algorithms and Applications 1 Areas done in textbook: Sorting Algorithms Numerical Algorithms Image Processing Searching and Optimization 2 Chapter 10 Sorting Algorithms - rearranging a list of numbers

More information

Large Scale Circuit Partitioning

Large Scale Circuit Partitioning Large Scale Circuit Partitioning With Loose/Stable Net Removal And Signal Flow Based Clustering Jason Cong Honching Li Sung-Kyu Lim Dongmin Xu UCLA VLSI CAD Lab Toshiyuki Shibuya Fujitsu Lab, LTD Support

More information

Rip-up and Reroute based Routing Algorithm for Self-Aligned Double Patterning

Rip-up and Reroute based Routing Algorithm for Self-Aligned Double Patterning R1-16 SASIMI 2015 Proceedings Rip-up and Reroute based Routing Algorithm for Self-Aligned Double Patterning Takeshi Ihara and Atsushi Takahashi Chikaaki Kodama Department of Communications and Computer

More information

Parallel Algorithm Design. Parallel Algorithm Design p. 1

Parallel Algorithm Design. Parallel Algorithm Design p. 1 Parallel Algorithm Design Parallel Algorithm Design p. 1 Overview Chapter 3 from Michael J. Quinn, Parallel Programming in C with MPI and OpenMP Another resource: http://www.mcs.anl.gov/ itf/dbpp/text/node14.html

More information

Bridging the Gap from Mask to Physical Design for Multiple Patterning Lithography

Bridging the Gap from Mask to Physical Design for Multiple Patterning Lithography Invited Paper Bridging the Gap from Mask to Physical Design for Multiple Patterning Lithography Bei Yu, Jhih-Rong Gao, Xiaoqing Xu, and David Z. Pan ECE Dept. University of Texas at Austin, Austin, TX

More information

Dr Tay Seng Chuan Tel: Office: S16-02, Dean s s Office at Level 2 URL:

Dr Tay Seng Chuan Tel: Office: S16-02, Dean s s Office at Level 2 URL: Self Introduction Dr Tay Seng Chuan Tel: Email: scitaysc@nus.edu.sg Office: S-0, Dean s s Office at Level URL: http://www.physics.nus.edu.sg/~phytaysc I have been working in NUS since 0, and I teach mainly

More information

Mesh Repairing and Simplification. Gianpaolo Palma

Mesh Repairing and Simplification. Gianpaolo Palma Mesh Repairing and Simplification Gianpaolo Palma Mesh Repairing Removal of artifacts from geometric model such that it becomes suitable for further processing Input: a generic 3D model Output: (hopefully)a

More information

Parallel Computing. Parallel Algorithm Design

Parallel Computing. Parallel Algorithm Design Parallel Computing Parallel Algorithm Design Task/Channel Model Parallel computation = set of tasks Task Program Local memory Collection of I/O ports Tasks interact by sending messages through channels

More information

A Systematic Framework for Evaluating Standard Cell Middle-Of-Line (MOL) Robustness for Multiple Patterning

A Systematic Framework for Evaluating Standard Cell Middle-Of-Line (MOL) Robustness for Multiple Patterning A Systematic Framework for Evaluating Standard Cell Middle-Of-Line (MOL) Robustness for Multiple Patterning Xiaoqing Xu a, Brian Cline b, Greg Yeric b, Bei Yu a and David Z. Pan a a ECE Dept. University

More information

IN current VLSI manufacturing process, chemical mechanical

IN current VLSI manufacturing process, chemical mechanical High Performance Dummy Fill Insertion with Coupling and Uniformity Constraints Yibo Lin, Bei Yu Member, IEEE, and David Z. Pan Fellow, IEEE Abstract In deep-submicron VLSI manufacturing, dummy fills are

More information

Lecture 8 Parallel Algorithms II

Lecture 8 Parallel Algorithms II Lecture 8 Parallel Algorithms II Dr. Wilson Rivera ICOM 6025: High Performance Computing Electrical and Computer Engineering Department University of Puerto Rico Original slides from Introduction to Parallel

More information

Fast Lithography Simulation under Focus Variations for OPC and Layout Optimizations

Fast Lithography Simulation under Focus Variations for OPC and Layout Optimizations Fast Lithography Simulation under Focus Variations for OPC and Layout Optimizations Peng Yu a, David Z. Pan a and Chris A. Mack a,b a Electrical and Computer Engineering Department, University of Texas

More information

Copyright by Xiaoqing Xu 2017

Copyright by Xiaoqing Xu 2017 Copyright by Xiaoqing Xu 2017 The Dissertation Committee for Xiaoqing Xu certifies that this is the approved version of the following dissertation: Standard Cell Optimization and Physical Design in Advanced

More information

A Provably Good Approximation Algorithm for Rectangle Escape Problem with Application to PCB Routing

A Provably Good Approximation Algorithm for Rectangle Escape Problem with Application to PCB Routing A Provably Good Approximation Algorithm for Rectangle Escape Problem with Application to PCB Routing Qiang Ma Hui Kong Martin D. F. Wong Evangeline F. Y. Young Department of Electrical and Computer Engineering,

More information

Physical design and mask synthesis considerations for DPT

Physical design and mask synthesis considerations for DPT Physical design and mask synthesis considerations for DPT Kevin Lucas, Chris Cork, John Hapli, Alex Miloslavsky Synopsys Vincent Wiaux, Staf Verhaegen IMEC Double Patterning pitch splitting 1 st trench

More information

Hybrid hotspot detection using regression model and lithography simulation

Hybrid hotspot detection using regression model and lithography simulation Hybrid hotspot detection using regression model and lithography simulation Taiki Kimura 1a, Tetsuaki Matsunawa a, Shigeki Nojima a and David Z. Pan b a Toshiba Corp. Semiconductor & Storage Products Company,

More information

Incremental Layer Assignment for Critical Path Timing

Incremental Layer Assignment for Critical Path Timing Incremental Layer Assignment for Critical Path Timing Derong Liu 1, Bei Yu 2, Salim Chowdhury 3, and David Z. Pan 1 1 ECE Department, University of Texas at Austin, Austin, TX, USA 2 CSE Department, Chinese

More information

A Class of Submodular Functions for Document Summarization

A Class of Submodular Functions for Document Summarization A Class of Submodular Functions for Document Summarization Hui Lin, Jeff Bilmes University of Washington, Seattle Dept. of Electrical Engineering June 20, 2011 Lin and Bilmes Submodular Summarization June

More information

Piecewise-Planar 3D Reconstruction with Edge and Corner Regularization

Piecewise-Planar 3D Reconstruction with Edge and Corner Regularization Piecewise-Planar 3D Reconstruction with Edge and Corner Regularization Alexandre Boulch Martin de La Gorce Renaud Marlet IMAGINE group, Université Paris-Est, LIGM, École Nationale des Ponts et Chaussées

More information

Department of Electrical and Computer Engineering

Department of Electrical and Computer Engineering LAGRANGIAN RELAXATION FOR GATE IMPLEMENTATION SELECTION Yi-Le Huang, Jiang Hu and Weiping Shi Department of Electrical and Computer Engineering Texas A&M University OUTLINE Introduction and motivation

More information

Workloads Programmierung Paralleler und Verteilter Systeme (PPV)

Workloads Programmierung Paralleler und Verteilter Systeme (PPV) Workloads Programmierung Paralleler und Verteilter Systeme (PPV) Sommer 2015 Frank Feinbube, M.Sc., Felix Eberhardt, M.Sc., Prof. Dr. Andreas Polze Workloads 2 Hardware / software execution environment

More information

OPC flare and optical modeling requirements for EUV

OPC flare and optical modeling requirements for EUV OPC flare and optical modeling requirements for EUV Lena Zavyalova, Kevin Lucas, Brian Ward*, Peter Brooker Synopsys, Inc., Austin, TX, USA 78746 *Synopsys assignee to IMEC, Leuven, Belgium B3001 1 Abstract

More information

A Recursive Cost-Based Approach to Fracturing by Shangliang Jiang. Research Project

A Recursive Cost-Based Approach to Fracturing by Shangliang Jiang. Research Project A Recursive Cost-Based Approach to Fracturing by Shangliang Jiang Research Project Submitted to the Department of Electrical Engineering and Computer Sciences, University of California at Berkeley, in

More information

Introduction VLSI PHYSICAL DESIGN AUTOMATION

Introduction VLSI PHYSICAL DESIGN AUTOMATION VLSI PHYSICAL DESIGN AUTOMATION PROF. INDRANIL SENGUPTA DEPARTMENT OF COMPUTER SCIENCE AND ENGINEERING Introduction Main steps in VLSI physical design 1. Partitioning and Floorplanning l 2. Placement 3.

More information

An Interconnect-Centric Design Flow for Nanometer Technologies

An Interconnect-Centric Design Flow for Nanometer Technologies An Interconnect-Centric Design Flow for Nanometer Technologies Professor Jason Cong UCLA Computer Science Department Los Angeles, CA 90095 http://cadlab.cs.ucla.edu/~ /~cong

More information

Routability-Driven Bump Assignment for Chip-Package Co-Design

Routability-Driven Bump Assignment for Chip-Package Co-Design 1 Routability-Driven Bump Assignment for Chip-Package Co-Design Presenter: Hung-Ming Chen Outline 2 Introduction Motivation Previous works Our contributions Preliminary Problem formulation Bump assignment

More information

Performance-Preserved Analog Routing Methodology via Wire Load Reduction

Performance-Preserved Analog Routing Methodology via Wire Load Reduction Electronic Design Automation Laboratory (EDA LAB) Performance-Preserved Analog Routing Methodology via Wire Load Reduction Hao-Yu Chi, Hwa-Yi Tseng, Chien-Nan Jimmy Liu, Hung-Ming Chen 2 Dept. of Electrical

More information

Geometric Modeling. Mesh Decimation. Mesh Decimation. Applications. Copyright 2010 Gotsman, Pauly Page 1. Oversampled 3D scan data

Geometric Modeling. Mesh Decimation. Mesh Decimation. Applications. Copyright 2010 Gotsman, Pauly Page 1. Oversampled 3D scan data Applications Oversampled 3D scan data ~150k triangles ~80k triangles 2 Copyright 2010 Gotsman, Pauly Page 1 Applications Overtessellation: E.g. iso-surface extraction 3 Applications Multi-resolution hierarchies

More information

A New Methodology for Interconnect Parasitic Extraction Considering Photo-Lithography Effects

A New Methodology for Interconnect Parasitic Extraction Considering Photo-Lithography Effects A New Methodology for Interconnect Parasitic Extraction Considering Photo-Lithography Effects Ying Zhou, Yuxin Tian, Weiping Shi Texas A&M University Zhuo Li Pextra Corporation Frank Liu IBM Austin Research

More information

A recursive cost-based approach to fracturing

A recursive cost-based approach to fracturing A recursive cost-based approach to fracturing Shangliang Jiang, Xu Ma, and Avideh Zakhor Department of Electrical Engineering and Computer Sciences University of California at Berkeley, CA, 94720, USA

More information

Outline. 1 Introduction. 2 The problem of assigning PCUs in GERAN. 3 Other hierarchical structuring problems in cellular networks.

Outline. 1 Introduction. 2 The problem of assigning PCUs in GERAN. 3 Other hierarchical structuring problems in cellular networks. Optimisation of cellular network structure by graph partitioning techniques Matías Toril, Volker Wille () (2) () Communications Engineering Dept., University of Málaga, Spain (mtoril@ic.uma.es) (2) Performance

More information

Floorplan and Power/Ground Network Co-Synthesis for Fast Design Convergence

Floorplan and Power/Ground Network Co-Synthesis for Fast Design Convergence Floorplan and Power/Ground Network Co-Synthesis for Fast Design Convergence Chen-Wei Liu 12 and Yao-Wen Chang 2 1 Synopsys Taiwan Limited 2 Department of Electrical Engineering National Taiwan University,

More information

THE SCALING of transistor dimensions and the manufacturing

THE SCALING of transistor dimensions and the manufacturing 1708 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 32, NO. 11, NOVEMBER 2013 Area-Optimal Transistor Folding for 1-D Gridded Cell Design Jordi Cortadella, Member,

More information

An MILP Model for Short Term Scheduling. of a Special Class of Multipurpose Batch Plants

An MILP Model for Short Term Scheduling. of a Special Class of Multipurpose Batch Plants An MILP Model for hort Term cheduling of a pecial Class of Multipurpose Batch Plants ungdeuk Moon and Andrew N. Hrymak McMaster Advanced Control Consortium Department of Chemical Engineering, McMaster

More information

Recent Research and Emerging Challenges in Physical Design for Manufacturability/Reliability

Recent Research and Emerging Challenges in Physical Design for Manufacturability/Reliability Recent Research and Emerging Challenges in Physical Design for Manufacturability/Reliability Chung-Wei Lin 1, Ming-Chao Tsai 2, Kuang-Yao Lee 2, Tai-Chen Chen 1, Ting-Chi Wang 2, and Yao-Wen Chang 1 1

More information

AA-Sort: A New Parallel Sorting Algorithm for Multi-Core SIMD Processors

AA-Sort: A New Parallel Sorting Algorithm for Multi-Core SIMD Processors AA-Sort: A New Parallel Sorting Algorithm for Multi-Core SIMD Processors Hiroshi Inoue, Takao Moriyama, Hideaki Komatsu and Toshio Nakatani IBM Tokyo Research Laboratory September 18 th, 2007 PACT2007

More information

Simultaneous OPC- and CMP-Aware Routing Based on Accurate Closed-Form Modeling

Simultaneous OPC- and CMP-Aware Routing Based on Accurate Closed-Form Modeling Simultaneous OPC- and CMP-Aware Routing Based on Accurate Closed-Form Modeling Shao-Yun Fang, Chung-Wei Lin, Guang-Wan Liao, and Yao-Wen Chang March 26, 2013 Graduate Institute of Electronics Engineering

More information

Index. C cmp;l, 126. C rq;l, 127. A A sp. B BANDWIDTH, 32, 218 complexity of, 32 k-bandwidth, 32, 218

Index. C cmp;l, 126. C rq;l, 127. A A sp. B BANDWIDTH, 32, 218 complexity of, 32 k-bandwidth, 32, 218 , 24, 96, 116 -compatible, 125 ++, 38 [], 125 P;l, 25 Q;l, 127 A A sp I, 166, 173 A sp R, 166, 173 174 A tw I, 189, 205 A tw R, 189, 205 210 adjacency list representation, 13 adjacent, 9 algorithm, 11

More information

Applications. Oversampled 3D scan data. ~150k triangles ~80k triangles

Applications. Oversampled 3D scan data. ~150k triangles ~80k triangles Mesh Simplification Applications Oversampled 3D scan data ~150k triangles ~80k triangles 2 Applications Overtessellation: E.g. iso-surface extraction 3 Applications Multi-resolution hierarchies for efficient

More information

CSPLAT for Photolithography Simulation

CSPLAT for Photolithography Simulation CSPLAT for Photolithography Simulation Guoxiong Wang wanggx@vlsi.zju.edu.cn Institute of VLSI Design, Zhejiang University 2001.8.31 Outline Photolithographic system Resolution enhancement technologies

More information

Vertical M1 Routing-Aware Detailed Placement for Congestion and Wirelength Reduction in Sub-10nm Nodes

Vertical M1 Routing-Aware Detailed Placement for Congestion and Wirelength Reduction in Sub-10nm Nodes Vertical M1 Routing-Aware Detailed Placement for Congestion and Wirelength Reduction in Sub-10nm Nodes Peter Debacker +, Kwangsoo Han, Andrew B. Kahng, Hyein Lee, Praveen Raghavan + and Lutong Wang ECE

More information

Markov Networks in Computer Vision. Sargur Srihari

Markov Networks in Computer Vision. Sargur Srihari Markov Networks in Computer Vision Sargur srihari@cedar.buffalo.edu 1 Markov Networks for Computer Vision Important application area for MNs 1. Image segmentation 2. Removal of blur/noise 3. Stereo reconstruction

More information

Image-Space-Parallel Direct Volume Rendering on a Cluster of PCs

Image-Space-Parallel Direct Volume Rendering on a Cluster of PCs Image-Space-Parallel Direct Volume Rendering on a Cluster of PCs B. Barla Cambazoglu and Cevdet Aykanat Bilkent University, Department of Computer Engineering, 06800, Ankara, Turkey {berkant,aykanat}@cs.bilkent.edu.tr

More information

EDA for ONoCs: Achievements, Challenges, and Opportunities. Ulf Schlichtmann Dresden, March 23, 2018

EDA for ONoCs: Achievements, Challenges, and Opportunities. Ulf Schlichtmann Dresden, March 23, 2018 EDA for ONoCs: Achievements, Challenges, and Opportunities Ulf Schlichtmann Dresden, March 23, 2018 1 Outline Placement PROTON (nonlinear) PLATON (force-directed) Maze Routing PlanarONoC Challenges Opportunities

More information

Generic Topology Mapping Strategies for Large-scale Parallel Architectures

Generic Topology Mapping Strategies for Large-scale Parallel Architectures Generic Topology Mapping Strategies for Large-scale Parallel Architectures Torsten Hoefler and Marc Snir Scientific talk at ICS 11, Tucson, AZ, USA, June 1 st 2011, Hierarchical Sparse Networks are Ubiquitous

More information

Global Register Allocation - 2

Global Register Allocation - 2 Global Register Allocation - 2 Y N Srikant Computer Science and Automation Indian Institute of Science Bangalore 560012 NPTEL Course on Principles of Compiler Design Outline n Issues in Global Register

More information