Manufacturing Challenges and their Implications on Design

Size: px
Start display at page:

Download "Manufacturing Challenges and their Implications on Design"

Transcription

1 Manufacturing Challenges and their Implications on Design Phiroze Parakh, Ph.D

2 45nm/32nm Design Challenges MANUFACTURING VARIATIONS PROCESS & DESIGN VARIATIONS LARGE DESIGNS LOW POWER

3 The Evolution of Signoff PRE-TAPE EOUT 130nm 90nm 65nm 45nm DRC DRC DRC DRC Critical Feature Analysis Critical Feature Analysis Critical Area Analysis Litho-friendly Design Critical Feature Analysis Critical Area Analysis Litho-friendly Design Litho-aware Silicon Modeling 32nm

4 Variability vs. Yield, Cause vs. Effect Variability: spread in process/layout parameters and is inherently caused by the litho-process Yield: measure of success-rate in fabrication process Yi ld f il li iti f i bilit Yield-failure: limiting case of variability. The effect of a high-σ event!

5 P&R, RET and Fabrication P&R timing place opt route clock logic RET: Backend GDS2 OPC CAA LFD Corners Gate delays RC/µm Design rules Litho model Mask Layers Parametric Variations Defect densities FAB: Tapeout yield wafer models Design rules

6 How does robust optimization address variability? low V th fast RC Fab & Test Universe Typical optimization centered around nominal process high V th slow RC Robust optimization seeks to cover larger process conditions

7 Taxonomy Parametric (process) y Spatial (wafer/die) Proximity (local position) Systematic Variability Dynamic Temperature/Voltage N.B.T.I Electro-migration Random Particle Defects Implant L.E.R What can be addressed by P&R?

8 Example Systematic Parametric Variation: PV-Bands V23 M3 M2 The bands represent a range of simulations across Dose, Defocus, and Mask-Bias Drawn!= Actual Calibre OlympusLFD

9 Understanding Lithography is the first step Mask Wafer λ NA: sin(θ) Resist Critical_dimension = κ 1 * λ / Numerical_Aperture

10 How is sub-λ possible? λ = 193nm; sin(θ) 1 CD 193nm CD = k 1 * λ/na NA can be > 1 if we use 1.2 immersion lithography η water = NATake advantage of the mask-spectrum Partially coherent imaging κ Off-axis illumination Annular light 0.48 sources 0.44 Improve the mask via OPC κ 1 90nm 65nm 45nm 32nm 22nm 0.24

11 Optics: Initial Source of Variability Mask Resist Wafer 1.1 E E 0 Exposure Latitude Mask Bias Focus σ(cd image ) limits σ(e 0 ) σ(dof) limited by σ(cd image ) σ(cd image ) limits σ(cd mask ) The variance of CD image, Exposure, Masks and Focus are coupled

12 Parametric Variability in Lithography space Fat M1 Variability is a measure of the change in the image over changes in Dose, Focus and Mask-Bias

13 Variability through Timing Corners 250 Inverter driving 25µm of M ps Delay (ps s) Weak: High-Vt Weak: Low-Vt ps C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 C11 C12 C13 C14 C15 C16 C17 Corners v, 0 C, best RC 0.9v, 125 C, worst RC Strong: High-Vt Strong: Low-Vt Each corner is a full chip timing tighten the range

14 Robust P&R for DFM place opt route clock logic Timing aware Metal Fill Fast DRC Wire Spreading Double Via Ins. Multi-corner analysis Mentor Olympus DB Litho analysis Litho Errors Advanced Rules CAA / Yield CMP Maps Litho aware CAA LFD OPC CMP

15 Parametric (process) y Spatial (wafer/die) Proximity (local position) Systematic Variability Dynamic Temperature/Voltage N.B.T.I Electro-migration Random Particle Defects Implant L.E.R

16 S Systematic vs. Parametric 80nm 65nm 120nm? 85nm? Actual Shape Can be Simulated Systematic Drawn - Actual Parametric σ(actual) 69nm? 60nm? 64nm? Can we account for Drawn Shapes in Routing?

17 S Metal Pinching (Min-Width) M2 bridge with litho error Pinched (but still ok) PV-band violation OPC: nominal case Rather than make OPC solve for all Process windows, we could make the M2 jog wider

18 S Density based width variation 40nm 20nm variation! 60nm Modeled in RC extraction

19 S Double vias can be a double edged sword 80nm 39nm 43nm Increased contact reliability Decreased metal reliability

20 S Locality!= adjacency Space allows the other side to compensate Symmetry suggests this should be an error M3 min-width idth violation

21 S Robust repair of Litho-Errors Aggressor Zones Expand to fix error Victim Rotate (if possible) Use a fine grid to resolve violation

22 S Systematic vs. Parametric 80nm 65nm 120nm? 85nm? Actual Shape Can be Simulated Systematic Drawn - Actual Parametric σ(actual) 69nm? 60nm? 64nm? Can we account for Drawn Shapes in Timing?

23 S OCV Margins Fudge-factor OCV Margin factor of ~20% This factor masks Location based variation L/Weff variation IR-drop etc.. Robust OCV model each factor

24 S Systematic Density-based Variation for a Timer High density Optical diameter 65nm 45nm 32nm Low density High cell density increased σ(l eff ) Proximity(Density) Based OCV

25 S Parasitic Variation and Chemical Mechanical Polishing Wire thickness (C lateral ) is a function of layer, density and width The dielectric between layers will also vary σ(c substrate ) Per layer CMP variation M3 could be worse than M2! Metal fill makes density consistent Calibre CMP

26 Taxonomy Parametric (process) y Spatial (wafer/die) Proximity (local position) Systematic Variability Dynamic Temperature/Voltage N.B.T.I Electro-migration Particle Defects Random Implant (V th ) L.E.R

27 D Dynamic Variation Time/state dependent Eg: Negative Bias Temp Instability (NBTI) max V th 125 When will we reach max Vth? log(v th h) 25 log(t) Lifetime A comprehensive model of PMOS NBTI degradation, M. Alam. A K map + target t conditions are needed d Also supports delay dependence on IR-drop

28 Taxonomy Parametric (process) y Spatial (wafer/die) Proximity (local position) Systematic Variability Dynamic Temperature/Voltage N.B.T.I Electro-migration Particle Defects Random Implant (V th ) L.E.R

29 R Random variation along timing-path σ(v th ) = K W L Due to variation in number and distribution of dopant atoms in the channel logic_path_depth: v 0.58v clock_path_depth: 2 Different distribution! Same number of atoms σ(logic): σ(v th )* 5 σ(clock): σ(v th )* 2 On clock trees, Random even dopant induced a threshold small voltage difference lowering and fluctuations, in Asen path-depth Asenov. matters.

30 R Random Fault: Critical Area Analysis C.A = P(r) A(r) dr 0

31 R CAA: How is A(r) to be determined? Shorts: PV-Bands 3 possible A(r) Opens: PV-Bands 3 possible A(r) Conservative: Inner band for opens and Outer band for shorts

32 R Improving CAA score C.A = P(r) A(r) dr 0 Tough to spread! Easier Improve A(r) by wire-spreading or wire-sizing

33 R Random Fault: Pattern collapse y M1 mask x y Etch x High aspect ratio, without side support! y x

34 R Pattern collapse Capillary effect θ Young s Modulus used to determine snapping point h s w

35 R Potential For Collapse? Has Support Long Unsupported Imbalanced Too short Well balanced Wire-spreading prevent collapse

36 Conclusion Parametric (process) y Spatial (wafer/die) Proximity (local position) Systematic Variability Dynamic Temperature/Voltage N.B.T.I Electro-migration Random Particle Defects Implant L.E.R Proper models are key to addressing variability

37 Andres Torres Alex Volkov Acknowledgements Shankar Krishnamoorthy

38 Resolution lower-bound Pitch: 21 < 1 λ/na Image The lens is a low pass filter! It will suppress frequencies below CD -1

39 Interference Incident plane wave n*λ θ (n+½)*λ

40 Diffraction Incident plane wave Constructive: n*λ Destructive: (n+½)*λ

41 Sub-λ stressed by need for increased control σ (nm m) Non-uniform wires Vias Uniform wires Variance is larger due to non-uniformity

42 Contacts vs. Metal Is this Double Via needed? Shift the wire & rotate the via? M3 pinch

43 CAA on 45nm design Metal 2 Metal 3 Metal 4 Stripes due to power lines

44 O.C.V: Systematic variation for a Timer σ outside Spherical aberration Focus σ inside Resist Coating, CMP Planarity Etch Thickness σ outside > σ inside Chips inside have less variation they sort into faster bins! Location Based OCV

45 CAA: How is P(r) determined? Inline Particle Detectors shine a laser on the wafer and detect scattered light Scattering intensity is proportional to Scattering intensity is proportional to particle size

Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design

Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design Anupama R. Subramaniam, Ritu Singhal, Chi-Chao Wang, Yu Cao Department of Electrical Engineering, Arizona State University,

More information

Low k 1 Logic Design using Gridded Design Rules

Low k 1 Logic Design using Gridded Design Rules SPIE Advanced Lithography Conference 2008 6925-68 Tela Innovations, ASML 1 Low k 1 Logic Design using Gridded Design Rules Michael C. Smayling a, Hua-yu Liu b, Lynn Cai b a Tela Innovations, Inc., 655

More information

Optimization of Photolithography Process Using Simulation

Optimization of Photolithography Process Using Simulation Optimization of Photolithography Process Using Simulation Introduction The progress in semiconductor technology towards even smaller device geometries demands continuous refinements of photolithography

More information

CSPLAT for Photolithography Simulation

CSPLAT for Photolithography Simulation CSPLAT for Photolithography Simulation Guoxiong Wang wanggx@vlsi.zju.edu.cn Institute of VLSI Design, Zhejiang University 2001.8.31 Outline Photolithographic system Resolution enhancement technologies

More information

Simultaneous OPC- and CMP-Aware Routing Based on Accurate Closed-Form Modeling

Simultaneous OPC- and CMP-Aware Routing Based on Accurate Closed-Form Modeling Simultaneous OPC- and CMP-Aware Routing Based on Accurate Closed-Form Modeling Shao-Yun Fang, Chung-Wei Lin, Guang-Wan Liao, and Yao-Wen Chang March 26, 2013 Graduate Institute of Electronics Engineering

More information

Design Techniques for Lithography-Friendly Nanometer CMOS Integrated Circuits. Ayman Hamouda

Design Techniques for Lithography-Friendly Nanometer CMOS Integrated Circuits. Ayman Hamouda Design Techniques for Lithography-Friendly Nanometer CMOS Integrated Circuits by Ayman Hamouda A thesis presented to the University of Waterloo in fulfilment of the thesis requirement for the degree of

More information

Physical stuff (20 mins) C2S2 Workshop 7/28/06

Physical stuff (20 mins) C2S2 Workshop 7/28/06 Physical stuff (20 mins) C2S2 Workshop 7/28/06 Clive Bittlestone TI Fellow Nagaraj NS DMTS, Roger Griesmer SMTS Carl Vickery SMTS Gopalarao Kadamati MGTS Texas Instruments Texas Instruments 2004,2005,2006

More information

Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating

Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating Darron Jurajda b, Enrico Tenaglia a, Jonathan Jeauneau b, Danilo De Simone a, Zhimin

More information

Advanced multi-patterning and hybrid lithography techniques. Fedor G Pikus, J. Andres Torres

Advanced multi-patterning and hybrid lithography techniques. Fedor G Pikus, J. Andres Torres Advanced multi-patterning and hybrid lithography techniques Fedor G Pikus, J. Andres Torres Outline Need for advanced patterning technologies Multipatterning (MP) technologies What is multipatterning?

More information

Sub-wavelength Holographic Lithography: The Possibilities And Advantages. (NANOTECH SWHL, 6-1 Kazarmenny per., Moscow, Russia)

Sub-wavelength Holographic Lithography: The Possibilities And Advantages. (NANOTECH SWHL, 6-1 Kazarmenny per., Moscow, Russia) Sub-wavelength Holographic Lithography: The Possibilities And Advantages Mikhail V. Borisov, Dmitriy A. Chelyubeev, Vitalij V. Chernik, Alexander A. Gavrikov, Dmitriy Yu. Knyazkov, Petr A. Mikheev, Vadim

More information

Study of Air Bubble Induced Light Scattering Effect On Image Quality in 193 nm Immersion Lithography

Study of Air Bubble Induced Light Scattering Effect On Image Quality in 193 nm Immersion Lithography Study of Air Bubble Induced Light Scattering Effect On Image Quality in 193 nm Immersion Lithography Y. Fan, N. Lafferty, A. Bourov, L. Zavyalova, B. W. Smith Rochester Institute of Technology Microelectronic

More information

Chapter 24. Wave Optics. Wave Optics. The wave nature of light is needed to explain various phenomena

Chapter 24. Wave Optics. Wave Optics. The wave nature of light is needed to explain various phenomena Chapter 24 Wave Optics Wave Optics The wave nature of light is needed to explain various phenomena Interference Diffraction Polarization The particle nature of light was the basis for ray (geometric) optics

More information

Three-dimensional imaging of 30-nm nanospheres using immersion interferometric lithography

Three-dimensional imaging of 30-nm nanospheres using immersion interferometric lithography Three-dimensional imaging of 30-nm nanospheres using immersion interferometric lithography Jianming Zhou *, Yongfa Fan, Bruce W. Smith Microelectronics Engineering Department, Rochester Institute of Technology,

More information

High spatial resolution measurement of volume holographic gratings

High spatial resolution measurement of volume holographic gratings High spatial resolution measurement of volume holographic gratings Gregory J. Steckman, Frank Havermeyer Ondax, Inc., 8 E. Duarte Rd., Monrovia, CA, USA 9116 ABSTRACT The conventional approach for measuring

More information

OPC flare and optical modeling requirements for EUV

OPC flare and optical modeling requirements for EUV OPC flare and optical modeling requirements for EUV Lena Zavyalova, Kevin Lucas, Brian Ward*, Peter Brooker Synopsys, Inc., Austin, TX, USA 78746 *Synopsys assignee to IMEC, Leuven, Belgium B3001 1 Abstract

More information

Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography

Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography Mark D. Smith, Trey Graves, John Biafore, and Stewart Robertson KLA-Tencor Corp, 8834 N. Capital of Texas Hwy,

More information

Chapter 25. Wave Optics

Chapter 25. Wave Optics Chapter 25 Wave Optics Interference Light waves interfere with each other much like mechanical waves do All interference associated with light waves arises when the electromagnetic fields that constitute

More information

Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba

Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba Advanced Mask Technology Center Dresden, Germany Senior Member of Technical Staff Advanced Mask Technology Center Dresden Key Facts

More information

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia Lecture 4a CMOS Fabrication, Layout and Simulation R. Saleh Dept. of ECE University of British Columbia res@ece.ubc.ca 1 Fabrication Fabrication is the process used to create devices and wires. Transistors

More information

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends EE4 - Spring 008 Advanced Digital Integrated Circuits Lecture : Scaling Trends Announcements No office hour next Monday Extra office hours Tuesday and Thursday -3pm CMOS Scaling Rules Voltage, V / α tox/α

More information

CMP Model Application in RC and Timing Extraction Flow

CMP Model Application in RC and Timing Extraction Flow INVENTIVE CMP Model Application in RC and Timing Extraction Flow Hongmei Liao*, Li Song +, Nickhil Jakadtar +, Taber Smith + * Qualcomm Inc. San Diego, CA 92121 + Cadence Design Systems, Inc. San Jose,

More information

Circuits. L3: Fabrication and Layout -1 ( ) B. Mazhari Dept. of EE, IIT Kanpur. B. Mazhari, IITK. G-Number

Circuits. L3: Fabrication and Layout -1 ( ) B. Mazhari Dept. of EE, IIT Kanpur. B. Mazhari, IITK. G-Number EE60: CMOS Analog Circuits L: Fabrication and Layout - (8.8.0) B. Mazhari Dept. of EE, IIT Kanpur Suppose we have a Silicon wafer which is P-type and we wish to create a region within it which is N-type

More information

EE582 Physical Design Automation of VLSI Circuits and Systems

EE582 Physical Design Automation of VLSI Circuits and Systems EE582 Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University Preliminaries Table of Contents Semiconductor manufacturing Problems to solve Algorithm complexity

More information

Chapter 24. Wave Optics. Wave Optics. The wave nature of light is needed to explain various phenomena

Chapter 24. Wave Optics. Wave Optics. The wave nature of light is needed to explain various phenomena Chapter 24 Wave Optics Wave Optics The wave nature of light is needed to explain various phenomena Interference Diffraction Polarization The particle nature of light was the basis for ray (geometric) optics

More information

Pushing 193i lithography by Joint optimization of Layout and Lithography

Pushing 193i lithography by Joint optimization of Layout and Lithography Pushing 193i lithography by Joint optimization of Layout and Lithography Peter De Bisschop Imec, Leuven, Belgium Semicon Europe Messe Dresden, Germany Lithography session October 12, 2011 Semiconductor-Industry

More information

Defect Repair for EUVL Mask Blanks

Defect Repair for EUVL Mask Blanks Defect Repair for EUVL Mask Blanks A.Barty, S.Hau-Riege, P.B.Mirkarimi, D.G.Stearns, H.Chapman, D.Sweeney Lawrence Livermore National Laboratory M.Clift Sandia National Laboratory E.Gullikson, M.Yi Lawrence

More information

Coping with Variability in Semiconductor Manufacturing

Coping with Variability in Semiconductor Manufacturing 1 Coping with Variability in Semiconductor Manufacturing Costas J. Spanos Berkeley Computer Aided Manufacturing Department of EECS University of California, Berkeley 12/6/04 2 The Traditional Semiconductor

More information

Computational Lithography Turning Physics into Yield

Computational Lithography Turning Physics into Yield Computational Lithography Turning Physics into Yield Tim Fühner Fraunhofer IISB Erlangen, Germany SEMICON Europa, TechArena, 11.10.2012 Lithography Modeling 2 SEMICON Europa, TechArena, 11.10.2012 Computational

More information

ECE260B CSE241A Winter Tapeout. Website:

ECE260B CSE241A Winter Tapeout. Website: ECE260B CSE241A Winter 2007 Tapeout Website: http://vlsicad.ucsd.edu/courses/ece260b-w07 ECE 260B CSE 241A Tapeout 1 Tapeout definition What is the definition of the tapeout? There is no standard definition

More information

Automated aerial image based CD metrology initiated by pattern marking with photomask layout data

Automated aerial image based CD metrology initiated by pattern marking with photomask layout data Automated aerial image based CD metrology initiated by pattern marking with photomask layout data Grant Davis 1, Sun Young Choi 2, Eui Hee Chung 2, Arne Seyfarth 3, Hans van Doornmalen 3, Eric Poortinga

More information

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends EE24 - Spring 2008 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends Announcements No office hour next Monday Extra office hours Tuesday and Thursday 2-3pm 2 CMOS Scaling Rules Voltage, V

More information

OpenDFM Targeting Functions. Bob Sayah June 6, 2011 IBM Corporation Si2 Targeting Working Group Chair

OpenDFM Targeting Functions. Bob Sayah June 6, 2011 IBM Corporation Si2 Targeting Working Group Chair OpenDFM Targeting Functions Bob Sayah June 6, 2011 IBM Corporation Si2 Targeting Working Group Chair Targeting Design Drawn Shapes Mfg. Targeting Targeting takes the Drawn Shapes provided by the layout

More information

Layout Regularity Metric as a Fast Indicator of Process Variations

Layout Regularity Metric as a Fast Indicator of Process Variations The American University in Cairo School of Sciences and Engineering Layout Regularity Metric as a Fast Indicator of Process Variations A Thesis Submitted to Department of Electronics Engineering In partial

More information

Amherst. University of Massachusetts Amherst. Aswin Sreedhar University of Massachusetts Amherst

Amherst. University of Massachusetts Amherst. Aswin Sreedhar University of Massachusetts Amherst University of Massachusetts Amherst ScholarWorks@UMass Amherst Masters Theses 1911 - February 2014 2008 Automatic Techniques for Modeling Impact of Sub-wavelength Lithography on Transistors and Interconnects

More information

Supreme lithographic performance by simple mask layout based on lithography and layout co-optimization

Supreme lithographic performance by simple mask layout based on lithography and layout co-optimization Supreme lithographic performance by simple mask layout based on lithography and layout co-optimization Koichiro Tsujita a, Tadashi Arai a, Hiroyuki Ishii a, Yuichi Gyoda a, Kazuhiro Takahashi a, Valery

More information

Variations, Margins, & Statistics

Variations, Margins, & Statistics April 14, 2008 Variations, Margins, & Statistics 2008 ISPD Patrick McGuinness Freescale Semiconductor All other product or service names are the property of their respective owners. Freescale Semiconductor,

More information

ASIC design flow considering lithography-induced effects

ASIC design flow considering lithography-induced effects DESIGN FOR MANUFACTURABILITY ASIC design flow considering lithography-induced effects K. Cao and J. Hu Abstract: As VLSI technology scales towards 65 nm and beyond, both timing and power performance of

More information

Lithography Simulation

Lithography Simulation Stepper Laser Proximity e-beam Lithography Simulation Enable next generation products and faster development by computational design and process optimization www.genisys-gmbh.com Source Condenser Mask

More information

Comprehensive Place-and-Route Platform Olympus-SoC

Comprehensive Place-and-Route Platform Olympus-SoC Comprehensive Place-and-Route Platform Olympus-SoC Digital IC Design D A T A S H E E T BENEFITS: Olympus-SoC is a comprehensive netlist-to-gdsii physical design implementation platform. Solving Advanced

More information

10.4 Interference in Thin Films

10.4 Interference in Thin Films 0. Interference in Thin Films You have probably noticed the swirling colours of the spectrum that result when gasoline or oil is spilled on water. And you have also seen the colours of the spectrum shining

More information

NEW OPTICAL MEASUREMENT TECHNIQUE FOR SI WAFER SURFACE DEFECTS USING ANNULAR ILLUMINATION WITH CROSSED NICOLS

NEW OPTICAL MEASUREMENT TECHNIQUE FOR SI WAFER SURFACE DEFECTS USING ANNULAR ILLUMINATION WITH CROSSED NICOLS NEW OPTICAL MEASUREMENT TECHNIQUE FOR SI WAFER SURFACE DEFECTS USING ANNULAR ILLUMINATION WITH CROSSED NICOLS Satoru Takahashi 1, Takashi Miyoshi 1, Yasuhiro Takaya 1, and Takahiro Abe 2 1 Department of

More information

Chapter 35 &36 Physical Optics

Chapter 35 &36 Physical Optics Chapter 35 &36 Physical Optics Physical Optics Phase Difference & Coherence Thin Film Interference 2-Slit Interference Single Slit Interference Diffraction Patterns Diffraction Grating Diffraction & Resolution

More information

PYRAMID: A Hierarchical Approach to E-beam Proximity Effect Correction

PYRAMID: A Hierarchical Approach to E-beam Proximity Effect Correction PYRAMID: A Hierarchical Approach to E-beam Proximity Effect Correction Soo-Young Lee Auburn University leesooy@eng.auburn.edu Presentation Proximity Effect PYRAMID Approach Exposure Estimation Correction

More information

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 Addressable Test Chip Technology for IC Design and Manufacturing Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 IC Design & Manufacturing Trends Both logic and memory

More information

Chapter 36. Diffraction. Copyright 2014 John Wiley & Sons, Inc. All rights reserved.

Chapter 36. Diffraction. Copyright 2014 John Wiley & Sons, Inc. All rights reserved. Chapter 36 Diffraction Copyright 36-1 Single-Slit Diffraction Learning Objectives 36.01 Describe the diffraction of light waves by a narrow opening and an edge, and also describe the resulting interference

More information

AP Physics Problems -- Waves and Light

AP Physics Problems -- Waves and Light AP Physics Problems -- Waves and Light 1. 1975-4 (Physical Optics) a. Light of a single wavelength is incident on a single slit of width w. (w is a few wavelengths.) Sketch a graph of the intensity as

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules EE 432 VLSI Modeling and Design 2 CMOS Fabrication

More information

OPTICAL TECHNOLOGIES FOR TSV INSPECTION Arun A. Aiyer, Frontier Semiconductor 2127 Ringwood Ave, San Jose, California 95131

OPTICAL TECHNOLOGIES FOR TSV INSPECTION Arun A. Aiyer, Frontier Semiconductor 2127 Ringwood Ave, San Jose, California 95131 OPTICAL TECHNOLOGIES FOR TSV INSPECTION Arun A. Aiyer, Frontier Semiconductor 2127 Ringwood Ave, San Jose, California 95131 ABSTRACT: In this paper, Frontier Semiconductor will introduce a new technology

More information

Near-Field Recording Technologies

Near-Field Recording Technologies 4 th Annual Optical Storage Symposium Near-Field Recording Technologies No-Cheol Park pnch@yonsei.ac.kr October 5, 2006 Basic Concept of SIL Based Near-Field Recording Super high resolution has been achieved

More information

Enabling DFM Flow Peter Rabkin Xilinx, Inc.

Enabling DFM Flow Peter Rabkin Xilinx, Inc. Enabling DFM Flow Peter Rabkin Xilinx, Inc. Open DFM Workshop San Jose CA v 9, 2006 2006 All Rights Reserved Fabless Litho-DFM Requirements Design Tolerance Req s Systematic & automated litho compliance

More information

On-Chip Variation (OCV) Kunal Ghosh

On-Chip Variation (OCV) Kunal Ghosh On-Chip Variation (OCV) Kunal Ghosh Ever thought what s an interviewer s favorite questions to rip you off all my previous ebooks. And On-Chip Variation (OCV) is one of them, specifically for Static Timing

More information

Regularity for Reduced Variability

Regularity for Reduced Variability Regularity for Reduced Variability Larry Pileggi Carnegie Mellon pileggi@ece.cmu.edu 28 July 2006 CMU Collaborators Andrzej Strojwas Slava Rovner Tejas Jhaveri Thiago Hersan Kim Yaw Tong Sandeep Gupta

More information

Frontiers in CD-SEM metrology

Frontiers in CD-SEM metrology Frontiers in CD-SEM metrology abeam Technologies, Inc. Dr. Sergey Babin, sb@abeamtech.com Hayward, CA, USA CD-SEM in semiconductor CD-SEM is an indispensable part of the semiconductor industry In volume

More information

Physical design and mask synthesis considerations for DPT

Physical design and mask synthesis considerations for DPT Physical design and mask synthesis considerations for DPT Kevin Lucas, Chris Cork, John Hapli, Alex Miloslavsky Synopsys Vincent Wiaux, Staf Verhaegen IMEC Double Patterning pitch splitting 1 st trench

More information

Sub-Wavelength Holographic Lithography SWHL. NANOTECH SWHL Prof. Dr. Vadim Rakhovsky October, 2012

Sub-Wavelength Holographic Lithography SWHL. NANOTECH SWHL Prof. Dr. Vadim Rakhovsky October, 2012 Sub-Wavelength Holographic Lithography SWHL NANOTECH SWHL Prof. Dr. Vadim Rakhovsky October, 2012 EXECUTIVE SUMMARY SWHL is a new, alternative low cost approach to lithography SWHL is suitable for all

More information

Chapter 8: Physical Optics

Chapter 8: Physical Optics Chapter 8: Physical Optics Whether light is a particle or a wave had puzzled physicists for centuries. In this chapter, we only analyze light as a wave using basic optical concepts such as interference

More information

Microprocessor Chip Timing Analysis Using Extraction of Simulated Silicon-Calibrated Contours

Microprocessor Chip Timing Analysis Using Extraction of Simulated Silicon-Calibrated Contours Microprocessor Chip Timing Analysis Using Extraction of Simulated Silicon-Calibrated Contours Toshiaki Yanagihara 1, Takeshi Hamamoto 1, Koya Sato 1, Atsushi Okamura 1, Toshiyuki Matsunaga 1, Naohiro Kobayashi

More information

Ray Optics I. Last time, finished EM theory Looked at complex boundary problems TIR: Snell s law complex Metal mirrors: index complex

Ray Optics I. Last time, finished EM theory Looked at complex boundary problems TIR: Snell s law complex Metal mirrors: index complex Phys 531 Lecture 8 20 September 2005 Ray Optics I Last time, finished EM theory Looked at complex boundary problems TIR: Snell s law complex Metal mirrors: index complex Today shift gears, start applying

More information

On the quality of measured optical aberration coefficients using phase wheel monitor

On the quality of measured optical aberration coefficients using phase wheel monitor On the quality of measured optical aberration coefficients using phase wheel monitor Lena V. Zavyalova *, Aaron R. Robinson, Anatoly Bourov, Neal V. Lafferty, and Bruce W. Smith Center for Nanolithography

More information

Behavioral modeling of crosswafer chip-to-chip process induced non-uniformity. W. CLARK COVENTOR, Villebon sur Yvette, France

Behavioral modeling of crosswafer chip-to-chip process induced non-uniformity. W. CLARK COVENTOR, Villebon sur Yvette, France Behavioral modeling of crosswafer chip-to-chip process induced non-uniformity W. CLARK COVENTOR, Villebon sur Yvette, France Variability Concerns Variability is a major concern of any semiconductor process

More information

Benefiting from Polarization: Effects at High-NA Imaging

Benefiting from Polarization: Effects at High-NA Imaging Benefiting from Polarization: Effects at High-NA Imaging Bruce W. Smith L. Zavyalova, A. Estroff, Y. Fan, A. Bourov Rochester Institute of Technology P. Zimmerman International SEMACH and Intel J. Cashmore

More information

Tutorial on Design For Manufacturability for Physical Design

Tutorial on Design For Manufacturability for Physical Design Tutorial on Design For Manufacturability for Physical Design Andrzej J. Strojwas PDF Solutions Inc., San Jose, CA & Carnegie Mellon University, Pittsburgh, PA 2005 ISPD, San Francisco, CA April 5, 2005

More information

Visible-frequency dielectric metasurfaces for multi-wavelength achromatic and highly-dispersive holograms

Visible-frequency dielectric metasurfaces for multi-wavelength achromatic and highly-dispersive holograms Supporting Materials Visible-frequency dielectric metasurfaces for multi-wavelength achromatic and highly-dispersive holograms Bo Wang,, Fengliang Dong,, Qi-Tong Li, Dong Yang, Chengwei Sun, Jianjun Chen,,

More information

Optics Vac Work MT 2008

Optics Vac Work MT 2008 Optics Vac Work MT 2008 1. Explain what is meant by the Fraunhofer condition for diffraction. [4] An aperture lies in the plane z = 0 and has amplitude transmission function T(y) independent of x. It is

More information

Physics 272 Lecture 27 Interference (Ch ) Diffraction (Ch )

Physics 272 Lecture 27 Interference (Ch ) Diffraction (Ch ) Physics 272 Lecture 27 Interference (Ch 35.4-5) Diffraction (Ch 36.1-3) Thin Film Interference 1 2 n 0 =1 (air) t n 1 (thin film) n 2 Get two waves by reflection off of two different interfaces. Ray 2

More information

Hybrid hotspot detection using regression model and lithography simulation

Hybrid hotspot detection using regression model and lithography simulation Hybrid hotspot detection using regression model and lithography simulation Taiki Kimura 1a, Tetsuaki Matsunawa a, Shigeki Nojima a and David Z. Pan b a Toshiba Corp. Semiconductor & Storage Products Company,

More information

Chapter 36. Diffraction. Dr. Armen Kocharian

Chapter 36. Diffraction. Dr. Armen Kocharian Chapter 36 Diffraction Dr. Armen Kocharian Diffraction Light of wavelength comparable to or larger than the width of a slit spreads out in all forward directions upon passing through the slit This phenomena

More information

College Physics 150. Chapter 25 Interference and Diffraction

College Physics 150. Chapter 25 Interference and Diffraction College Physics 50 Chapter 5 Interference and Diffraction Constructive and Destructive Interference The Michelson Interferometer Thin Films Young s Double Slit Experiment Gratings Diffraction Resolution

More information

INTERFERENCE. Interf - 1

INTERFERENCE. Interf - 1 INTERFERENCE This laboratory will investigate the phenomenon of interference. The interference and diffraction of light waves will be studied. Specifically, the interference patterns of a single slit,

More information

10. Interconnects in CMOS Technology

10. Interconnects in CMOS Technology 10. Interconnects in CMOS Technology 1 10. Interconnects in CMOS Technology Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 October

More information

Outline. Abstract. Modeling Approach

Outline. Abstract. Modeling Approach EUV Interference Lithography Michael Goldstein ϕ, Donald Barnhart λ, Ranju D. Venables ϕ, Bernice Van Der Meer ϕ, Yashesh A. Shroff ϕ ϕ = Intel Corporation (www.intel.com), λ = Optica Software (www.opticasoftware.com)

More information

Immersion Microlithography at 193 nm with a Talbot Prism Interferometer

Immersion Microlithography at 193 nm with a Talbot Prism Interferometer RIT Scholar Works Presentations and other scholarship 5-28-2004 Immersion Microlithography at 193 nm with a Talbot Prism Interferometer Anatoly Bourov Yongfa Fan Frank Cropanese Neal Lafferty Lena V. Zavyalova

More information

Interference. Electric fields from two different sources at a single location add together. The same is true for magnetic fields at a single location.

Interference. Electric fields from two different sources at a single location add together. The same is true for magnetic fields at a single location. Interference Electric fields from two different sources at a single location add together. The same is true for magnetic fields at a single location. Thus, interacting electromagnetic waves also add together.

More information

Michelson Interferometer

Michelson Interferometer Michelson Interferometer The Michelson interferometer uses the interference of two reflected waves The third, beamsplitting, mirror is partially reflecting ( half silvered, except it s a thin Aluminum

More information

Physics 1CL WAVE OPTICS: INTERFERENCE AND DIFFRACTION Fall 2009

Physics 1CL WAVE OPTICS: INTERFERENCE AND DIFFRACTION Fall 2009 Introduction An important property of waves is interference. You are familiar with some simple examples of interference of sound waves. This interference effect produces positions having large amplitude

More information

Victory Advanced Structure Editor. 3D Process Simulator for Large Structures

Victory Advanced Structure Editor. 3D Process Simulator for Large Structures Victory Advanced Structure Editor 3D Process Simulator for Large Structures Applications Victory Advanced Structure Editor is designed for engineers who need to create layout driven 3D process based structures

More information

CHEM-E5225 :Electron Microscopy Imaging I

CHEM-E5225 :Electron Microscopy Imaging I CHEM-E5225 :Electron Microscopy Imaging I 2018.11 Yanling Ge Outline Amplitude Contrast Phase Contrast Images Thickness and Bending Effects Amplitude Contrast Amplitude phase TEM STEM Incoherent elastic

More information

3. Image formation, Fourier analysis and CTF theory. Paula da Fonseca

3. Image formation, Fourier analysis and CTF theory. Paula da Fonseca 3. Image formation, Fourier analysis and CTF theory Paula da Fonseca EM course 2017 - Agenda - Overview of: Introduction to Fourier analysis o o o o Sine waves Fourier transform (simple examples of 1D

More information

Recent Research and Emerging Challenges in Physical Design for Manufacturability/Reliability

Recent Research and Emerging Challenges in Physical Design for Manufacturability/Reliability Recent Research and Emerging Challenges in Physical Design for Manufacturability/Reliability Chung-Wei Lin 1, Ming-Chao Tsai 2, Kuang-Yao Lee 2, Tai-Chen Chen 1, Ting-Chi Wang 2, and Yao-Wen Chang 1 1

More information

Diffraction Challenge Problem Solutions

Diffraction Challenge Problem Solutions Diffraction Challenge Problem Solutions Problem 1: Measuring the Wavelength of Laser Light Suppose you shine a red laser through a pair of narrow slits (a = 40 μm) separated by a known distance and allow

More information

Taurus-Process. Multidimensional Process Simulation SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD

Taurus-Process. Multidimensional Process Simulation SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD Aurora DFM WorkBench Davinci Medici Raphael Raphael-NES Silicon Early Access TSUPREM-4 Taurus-Device Taurus-Lithography

More information

Benefiting from polarization effects on high-na imaging

Benefiting from polarization effects on high-na imaging Benefiting from polarization effects on high-na imaging Bruce W. Smith, Lena Zavyalova, Andrew Estroff Rochester Institute of Technology, Microelectronic Engineering Department 82 Lomb Memorial Drive,

More information

Ultrasonic Multi-Skip Tomography for Pipe Inspection

Ultrasonic Multi-Skip Tomography for Pipe Inspection 18 th World Conference on Non destructive Testing, 16-2 April 212, Durban, South Africa Ultrasonic Multi-Skip Tomography for Pipe Inspection Arno VOLKER 1, Rik VOS 1 Alan HUNTER 1 1 TNO, Stieltjesweg 1,

More information

A New Methodology for Interconnect Parasitic Extraction Considering Photo-Lithography Effects

A New Methodology for Interconnect Parasitic Extraction Considering Photo-Lithography Effects A New Methodology for Interconnect Parasitic Extraction Considering Photo-Lithography Effects Ying Zhou, Yuxin Tian, Weiping Shi Texas A&M University Zhuo Li Pextra Corporation Frank Liu IBM Austin Research

More information

Control of Light. Emmett Ientilucci Digital Imaging and Remote Sensing Laboratory Chester F. Carlson Center for Imaging Science 8 May 2007

Control of Light. Emmett Ientilucci Digital Imaging and Remote Sensing Laboratory Chester F. Carlson Center for Imaging Science 8 May 2007 Control of Light Emmett Ientilucci Digital Imaging and Remote Sensing Laboratory Chester F. Carlson Center for Imaging Science 8 May 007 Spectro-radiometry Spectral Considerations Chromatic dispersion

More information

Chapter 24. Wave Optics

Chapter 24. Wave Optics Chapter 24 Wave Optics Wave Optics The wave nature of light is needed to explain various phenomena Interference Diffraction Polarization The particle nature of light was the basis for ray (geometric) optics

More information

Lithography Simulation-Based Full-Chip Design Analyses

Lithography Simulation-Based Full-Chip Design Analyses Lithography Simulation-Based Full-Chip Design Analyses Puneet Gupta a, Andrew B. Kahng a, Sam Nakagawa a,saumilshah b and Puneet Sharma c a Blaze DFM, Inc., Sunnyvale, CA; b University of Michigan, Ann

More information

Reducing shot count through Optimization based fracture

Reducing shot count through Optimization based fracture Reducing shot count through Optimization based fracture Timothy Lin a, Emile Sahouria a, Nataraj Akkiraju a, Steffen Schulze b a Mentor Graphics Corporation, 46871 Bayside Parkway, Fremont, CA 94538 b

More information

Process Transfer Strategies between ASML Immersion Scanners

Process Transfer Strategies between ASML Immersion Scanners Process Transfer Strategies between ASML Immersion Scanners Yuan He, Peter Engblom*, Jianming Zhou, Eric Janda*, Anton Devilliers, Bernd Geh**, Erik Byers, Jasper Menger**, Steve Hansen*, Mircea Dusa*

More information

Tutorial Solutions. 10 Holographic Applications Holographic Zone-Plate

Tutorial Solutions. 10 Holographic Applications Holographic Zone-Plate 10 Holographic Applications 10.1 Holographic Zone-Plate Tutorial Solutions Show that if the intensity pattern for on on-axis holographic lens is recorded in lithographic film, then a one-plate results.

More information

Materials for and performance of multilayer lithography schemes

Materials for and performance of multilayer lithography schemes Materials for and performance of multilayer lithography schemes Marc Weimer, Yubao Wang, Charles J. Neef, James Claypool, Kevin Edwards, Zhimin Zhu Brewer Science, Inc., 2401 Brewer Dr., Rolla, MO, USA

More information

Chapter 2: Wave Optics

Chapter 2: Wave Optics Chapter : Wave Optics P-1. We can write a plane wave with the z axis taken in the direction of the wave vector k as u(,) r t Acos tkzarg( A) As c /, T 1/ and k / we can rewrite the plane wave as t z u(,)

More information

Models of Light The wave model: The ray model: The photon model:

Models of Light The wave model: The ray model: The photon model: Models of Light The wave model: under many circumstances, light exhibits the same behavior as sound or water waves. The study of light as a wave is called wave optics. The ray model: The properties of

More information

OPTI-521 Graduate Report 2 Matthew Risi Tutorial: Introduction to imaging, and estimate of image quality degradation from optical surfaces

OPTI-521 Graduate Report 2 Matthew Risi Tutorial: Introduction to imaging, and estimate of image quality degradation from optical surfaces OPTI-521 Graduate Report 2 Matthew Risi Tutorial: Introduction to imaging, and estimate of image quality degradation from optical surfaces Abstract The purpose of this tutorial is to introduce the concept

More information

Transistor Flaring in Deep Submicron Design Considerations

Transistor Flaring in Deep Submicron Design Considerations Transistor Flaring in Deep Submicron Design Considerations Vipul Singhal, Keshav C.B., Sumanth K.G., P.R. Suresh Abstract - The deep sub-micron regime has broughtup several manufacturing issues which impact

More information

Systematic Defect Filtering and Data Analysis Methodology for Design Based Metrology

Systematic Defect Filtering and Data Analysis Methodology for Design Based Metrology Systematic Defect Filtering and Data Analysis Methodology for Design Based Metrology Hyunjo Yang* a, Jungchan Kim a, Taehyeong Lee a, Areum Jung a, Gyun Yoo a, Donggyu Yim a, Sungki Park a, Toshiaki Hasebe

More information

The impact of resist model on mask 3D simulation accuracy beyond. 40nm node memory patterns

The impact of resist model on mask 3D simulation accuracy beyond. 40nm node memory patterns The impact of resist model on mask D simulation accuracy beyond nm node memory patterns Kao-Tun Chen a, Shin-Shing Yeh a, Ya-Hsuan Hsieh a, Jun-Cheng Nelson Lai a, Stewart A. Robertson b, John J. Biafore

More information

Introduction to Diffraction Gratings

Introduction to Diffraction Gratings Introduction to Diffraction Diffraction (Ruled and Holographic) Diffraction gratings can be divided into two basic categories: holographic and ruled. A ruled grating is produced by physically forming grooves

More information

Supplementary Figure 1: Schematic of the nanorod-scattered wave along the +z. direction.

Supplementary Figure 1: Schematic of the nanorod-scattered wave along the +z. direction. Supplementary Figure 1: Schematic of the nanorod-scattered wave along the +z direction. Supplementary Figure 2: The nanorod functions as a half-wave plate. The fast axis of the waveplate is parallel to

More information

Process-Induced Skew Variation for Scaled 2-D and 3-D ICs

Process-Induced Skew Variation for Scaled 2-D and 3-D ICs Process-Induced Skew Variation for Scaled 2-D and 3-D ICs Hu Xu, Vasilis F. Pavlidis, and Giovanni De Micheli LSI-EPFL July 26, 2010 SLIP 2010, Anaheim, USA Presentation Outline 2-D and 3-D Clock Distribution

More information