Projected evolution of semiconductor nanotechnology

Size: px
Start display at page:

Download "Projected evolution of semiconductor nanotechnology"

Transcription

1 REU Scatterometry Project REU Student: David Mckee Graduate Mentor: Ruichao Zhu Faculty Mentor: S.R.J Brueck Projected evolution of semiconductor nanotechnology Nanotechnology involves studying and working with matter under 100 nm scale. Amazing progress has been made in the last decade in the field of Nanoscience. Below the Graph shows the expected progression of the Lithography Technology alone. Year Figure 1 The current drive for smaller and smaller nanostructures is causing a need for new nondestructive metrology techniques. They must be accurate, reliable, and reproducible measurements. The semiconductor industries need to have a reliable quality control method. A nondestructive and an inline process are extremely desirable characteristics for any new methodology used to measure periodic nanostructures. Current technologies being used are capable of accurately measuring such nanostructures. There are also limitations to such technologies in use today. This paper will discuss such limitations in a condensed way to give validity to the pursuit of a technology with such characteristics as mentioned previously. Scatterometry is one such technology which is believed

2 to possess these characteristics, but as with all technologies which are in the developmental stage much research is needed to perfect the technique. There is however one other characteristic that is an advantage with the methodology of scatterometry and that is the fact it does not need vacuum to control atmospheric conditions around a sample being characterized by the technique of scatterometry. Therefore vacuum pumps not needed to remove air from a chamber which contains the sample in testing. The expense of providing vacuum equipment is very expensive addition to any nanometrology tool. The fact that scatterometry does not require such support equipment will be an advantage to the overall expense of the technology and will drive maintenance cost down. This paper will attempt to break down the technique of scatterometry to a measurement side and a data processing side. Scatterometry exhibits the characteristics of being nondestructive and an inline process. For clarification some background information is required. Some details of SEM and AFM technologies will be discussed to make the point more clearly. Scanning electron Microscope advantages and disadvantages: Figure 2 Optical scatterometry has received much attention because of its repeatability and capability to measure side wall shapes. A similar method has been developed for the Scanning Electron Microscope. The method is much like scatterometry in that it measures shape parameters such as CD (critical dimension) and wall angles. This method also uses a library of known measured values and compares it with a library of modeled shapes (MBL). The scanning electron Microscope uses electrons; whereas scatterometry uses photons. Therefore the images can be more localized then scatterometry. Because of this property of the electron beam SEM can measure isolated structures more easily but risks contaminating the image from secondary electron emissions. Another difference between SEM and scatterometry is that SEM produces an image rather than a scattering pattern. Accuracy is critical. The ITRS requires precision of 1nm and bias less that of 10%.These requirements are being tightened continuously. A model based library can be used as another

3 method of measuring which may provide the accuracy needed. This has advantages of higher resolution and disadvantages of contamination. Figure 3: Errors due to threshold edge assignment: the black lines depict an edge shape and the associated modeled secondary electron image with peaks scaled to the same value. The edge on the left is 90ᵒ and the one on the right is 84ᵒ. The 84ᵒ image on the right is exaggerated due to the expanded X scale. Edge position assignments are shown by the dashed line where the image intensity crosses a threshold set half way between an intensity peak and a baseline. In this example the error is shown by the difference in the estimated positions of the edge. In the figure above the images of two different edge geometries are shown where the peaks are representative of the line edges. Without the peaks there may be no difference between the line width and the silicon substrate. The sidewall shape introduces some miscalculation of the width at the top of the structure. The peak on the right is not centered on the edge of the side wall while the peak on the left is. This means that the SEM image is affected by more than the position of the edge of the side wall. Figure 3 (above) Demonstrates 2 aspects of the given measurement: (1) For any given edge shape such simple edge definitions are subject to bias (i. e., systematic error that does not approach zero with increased averaging). (2) The size of the bias depends upon the shape of the edge. If bias were the only issue, it could be corrected by adding an appropriate offset to the measurement results. The significance of (2) is that there is no offset that works for all edge shapes.

4 If edge shapes introduce the standard deviation that occurs during the manufacturing process, then there will be some random deviation in the error. This could affect repeatability possibly in a negative way. This will affect tool-to-tool matching if different CD s are used to create the criteria that a tool uses to calculate error. The difference will have great consequences on repeatability especially if measured CD s are used to compare with computerized modeled images stored in a MBL(Model Based Library). Before moving on to the discussion of a molded based Library one more subject AFM needs some discussion. Atomic force Microscope Advantages and disadvantages of atomic force microscopy Atomic force microscope has advantages and disadvantages. To determine whether or not to use this method, advantages and disadvantages must be considered. One advantage is that they can give three dimensional images. The tool can be used without the need for any special conditions. There is no need to create a vacuum under normal conditions. However, for the type periodic structures being measured today the technology is definitely being pushed into a new dimension. For the type of measurements that we are doing, a vacuum is certainly needed. SEM gives a two-dimensional projection of a sample, and the AFM provides a threedimensional surface profile. AFM does not require any special treatments such as metal/carbon coatings that would irreversibly change or damage the sample. SEM needs an expensive vacuum environment for proper operation. AFM modes can work perfectly well in ambient air or even a liquid environment. This makes it possible to study biological macromolecules and even living organisms. In principle, AFM can provide higher resolution than SEM. It has been shown to give true atomic resolution in ultra-high vacuum (UHV) and, more recently, in liquid environments. High resolution AFM is comparable in resolution to scanning tunneling microscopy and transmission electron microscopy. Disadvantages A disadvantage of AFM compared with the scanning electron microscope (SEM) is the single scan image size. In one pass, the SEM can image an area on the order of square millimeters with a depth of field on the order of millimeters. Whereas the AFM can only image a maximum height on the order of micrometers and a maximum scanning area of about micrometers. AFM s are limited by the probes they use during scan.

5 Figure 4: above shows the inability of AFM to measure a sidewall <90 deg. The figure below shows how wear affects the capability of the tip to scan optimally. Figure 5: Lines pattern measurements preformed with the same AFM on the same sample, with a single standard measurement. Difference are due to differently worn tips.

6 Scanning speed of an AFM is also a limitation while Scatterometry is limited by the speed of the system to match entities from the MBL. AFM cannot scan images as fast as a SEM, requiring several minutes for a typical scan, while a SEM is capable of scanning at near realtime, although at relatively low quality. The relatively slow rate of scanning during AFM imaging often leads to thermal drift in the image making the AFM microscope less suited for measuring accurate distances between topographical features on the image. Figure 6. Schematic illustration of molded based library matching approach. Blue thin lines are parameterized by wall shapes and upper corner radius. The library consist of the sampling of edge shapes and their computed images (thicker black lines. A sample is measured by it left and right edge separately to the entities in the library. Model Based Library The schematic is oversimplified only Sidewall angle and the radius of the upper corner are accounted for this simulation. It is worth mentioning the Model Based Library at this point because of the relationship to SEM method and Scatterometry method. In optical scatterometry light is scattered from a group of similar line patterns. Scatterometry is dependent on line width, wavelength, angle and shape. Having to model the resultant outcomes of all previously mentioned parameters would be a tremendous undertaking. There are methods of calculating the outcomes of reflected scattered patterns for given samples establishing an expected model. Each sample pattern will now have expected outcomes of a definite range, angle versus power, for the given sample. This only needs to be done once for a given sample type storing the calculated out comes into a library of pre-

7 calculated solutions. Therefore enabling us to compare expected results in the stored library with measured results unknown. SEM uses an electron beam which can use various methods of calculating expected electron beam image simulations. SEM is also dependent on the same parameters as Scatterometry although the electron beam requires the use of different calculations methods for expected outcome. Simulations are time consuming as well. Scatterometry Haven given some background and very limited discussion on the competing technologies disadvantages and advantages we now come to the motivation for the research being done here at University of New Mexico s Center for High Tech Materials (CHTM). Scatterometry has an advantage non-contact, non-destructive and needs no special environment such as vacuum. The Research can be broken down to a Measurement side and a Model side (RCWA). First a discussion of the measurement side and technique used. The following diagram will give some idea how the measurement side works and some of the problems that need to be overcome. Figure 7 The above diagram depicts an Incident ray striking a sample and multiple orders of light being diffracted as a result. The zero order is usually the strongest even when the grating is extremely small this order may be the only one available. Photons are used to produce this

8 phenomenon so there is no interaction with the sample such as an electron beam to contaminate the sample as SEM uses. AFM uses a tip which rides over topology and is limited to certain surface topology and orientation of the sample. Sample Detector 1 st rotational stage 2nd rotational stage Figure 8 As in research directed by Professor John McNeil at the CHTM, University of New Mexico we have attempted to construct a computerized variable angel scanner. We have created the machine in such a way as to let us measure power being detected at the sensor and correlate measured power with the angle. Allowing us to graph the scan and relate power versus angle of rotation. Using a computer to drive the rotational stages via Labview and proprietary software provided by Thor Labs. Alignment of the two rotational stages and the sample in relationship to the incident beam are critical issues. The incident ray must hit the same spot on the sample so that the non-uniformity of the sample grating will not affect the measurement.

9 Figure 9 A single rotation stage from Thor Labs. Labview controller RCWA software Sample Mode 0 Locking Amplifier chopper sensor 244nm Polarizer Figure 10

10 Power Power A simplified schematic of the existing setup here at CHTM. Using two different orientations that are referenced to line direction and polarization also a polarized 244nm laser. TM with vertical lines perfect condition 98 nm pitch 102nm pitch (TM with vertical lines) 0.7 TE with horizontal lines perfect condition 98nm pitch 102nm pitch TE with horizontal lines Figure 11 The figures above show the two most productive laser to line grating orientations. TE with vertical lines and TM with horizontal lines seem to stay constant (compared with the modeled) even though the angle was increasing. So for the moment the orientations shown above produced the best variations between model and measure. The black lines represent the modeled pitch data, blue 102nm pitch and the red lines represent the 98 nm pitch. The two graphs above are graphed using power as a function of the angle.

11 Reflection Reflection There are five parameters that will be central to the measuring technique being developed here at as well as UNM s Center for High Tech Materials Al height Sidewall angle Fused silica height Line width pitch Figure Al thickness for TE 5 0 Al thickness for TM 5 200nm 205nm 210nm 215nm 220nm 225nm nm 205nm 210nm 215nm 220nm 225nm Figure 13 Above tis the representative Graphs for several different heights.

12 Reflection Reflection Reflection Reflection Reflection Reflection Below are the representative Graphs for several side wall angles. 0.7 Sidewall angle for TE 5 0 Sidewall angle for TM 5 50nm-46nm 50nm-48nm 50nm-50nm 50nm-52nm 50nm-54nm nm-46nm 50nm-48nm 50nm-50nm 50nm-52nm 50nm-54nm 0 5 Figure 14 FigurewFFig ure 4 Below is the representative Graphs for several different line widths. 0.7 Linewidth for TM Linewidth for TE 44nm 46nm 48nm 50nm 52nm 54nm 56nm 44nm 46nm 48nm 50nm 52nm 54nm 56nm - Figure 15 FigurewFFig Below is the representative Graphs for several different Pitch. ure 4 5 Pitch for TM 0.8 Pitch for TE nm 98nm 99nm 100nm 101nm 102nm 103nm nm 98nm 99nm 100nm 101nm 102nm 103nm

13 Reflection Reflection Figure 16 FigurewFFig Below are the representative Graphs for several different fused silica height. ure 4 Fused silica undercut for TM 0.7 Fused silica undercut for TE 6nm 8nm 10nm 12nm 14nm 6nm 8nm 10nm 12nm 14nm Figure 17 FigurewFFig Previously mentioned was the concept that there are two sides to the research here at CHTM: a measured side and a modeled or calculated ure 4 side. All the above graphs belong to the Modeled side. One of the goals of this research is to create an accurate method of modeling and comparison, a process to use as a template for given structure with a given set of attributes; we are using 5 attributes for the time being. Later on, many different attributes will have to be modeled in order for scatterometry to be a viable method for measuring line grating. The modeled side will be an immense data base which will need to be fast in ordered for scatterometry to be a inline process. It should have a fast method of finding the correct modeled template to do comparisons with the measured graph being produced by the mechanical and photonics equipment. A Model based Library will be employed to make the metrology method useful. Much work has been done towards this goal but much more research is needed. The following are goals as stated on the poster for nanometrology: a. design a better code which could include the metal roughness to improve the accuracy of the simulation; b. design an auto-fitting system to improve the speed and robustness of the fitting process.

14 Reflection Summary 37.6nm 22nm 89.74º Fused Silica 12nm 39.6nm 97.2nm pitch Figure 18 Above are the best matching parameters used for the following graphs, simulation and measured for the respective polarizations, TE first with horizontal grating and then TM with vertical grating TE with horizontal grating Measurement Simulation

15 Power Figure 19 The Graph above is a representation for the values displayed in the preceding schematic. The orientation TE with horizontal grating show the minimum power between 60 and 65 degrees for both simulated and measured plots to be about the same. There is some discrepancy between the 0 degree and 55 degree angles as far as power being measured. This is accounted for in the fact that the simulation is assumed to have perfectly smooth surface on the top of the grating. In the measured (black graph) the surface is rough and causes light to reflect in many more directions then a perfectly smooth surface might. The overall trend is the same of the simulation between 55 and 0 degrees. TM with vertical lines Measurement at 244nm laser beam Simulation Figure 20 The graph above is simulation and measured plots of power versus angle for the given polarization and line grating (vertical). The overall trend is good but here again the measured value shows a difference in power because of the diffuse surface, whereas the simulation again assumes a perfectly smooth surface. As the modeled simulation approaches the measured values with more testing and adjusting of the modeling process it should become easier to generate simulations that are closer to real measured values. Of course these are not images but graphs of trends related to a given periodic. This is a very time consuming task and many more graphs will need to be simulated using different values for the five given attributes mentioned earlier in the paper. The simulations will also have to be adjusted for different processes accounting for more or less diffuse roughness of the surfaces. CONCLUSION These results show promise for what can be done in the future with more research and fine tuning the technology. Scatterometry for measuring periodic structures on a substrate may be the future of nano metrology. As mentioned before scatterometry can be an inline quality control mechanism providing a more instantaneous measure of the state of manufacturing parameters. The

16 accuracy and non-destructive nature of scatterometry gives the technology a bright future. The fact that it does not use expensive vacuum technology is another plus. In conclusion with further study and time I suspect that the following could be shown to be true. In both of preceding graphs the overall trend and minimum point are the important criteria for further studies. It will be possible to simulate many grating values and measure actual sample with the same attributes. This will create the need for a data base representing a number of different structures. The process of fitting, matching measured values to the simulations, will need to be done quickly and accurately for the concept of scatterometry to be successful. One may consider using a structured data base. It may be possible to shorten the fitting process using the LUN (logical unit number) employed in some data bases. Using structured data base will allow a given server to use LUNs for reference to point to data for faster retrieval and storing. It may also be possible to match LUNs to a set of given values for a given set of attributes facilitating the lookup process. EMC which produces a product in which the flexibility and the speed needed may be achievable though their concept of data storage. It may also lower cost. Continuing research will prove scatterometry to be a viable method of quality control. Of course there is still much research needed to be done on the measured side and the simulation side. References 1.)McNeil, J. R., Coulombe, S. A., Logofatu, P. C., Raymond, C. J., Naqvi, S. S. H., & Collins, G. J. (1998, October). Application of optical scatterometry to microelectronics and flat panel display processing. In SPIE's International Symposium on Optical Science, Engineering, and Instrumentation (pp ). International Society for Optics and Photonics. 2.) Villarrubia, John S., et al. "Scanning electron microscope analog of scatterometry." SPIE's 27th Annual International Symposium on Microlithography. International Society for Optics and Photonics, ) Allgair, John, and Benjamin Bunday. "A review of scatterometry for three-dimensional semiconductor feature analysis." Future Fab Intl 19 (2005): ) Lensing, Kevin, et al. "Lithography process control using scatterometry metrology and semi-physical modeling." Advanced Lithography. International Society for Optics and Photonics, ) Husu, H., et al. "Scatterometer for characterization of diffractive optical elements." Measurement Science and Technology 25.4 (2014): ) Bai, Chunli. Scanning tunneling microscopy and its application. Vol. 32. Springer, ) Frank Goodwin, Vibhu Jindal, Patrick Kearney, Ranganath Teki, Jenah Harris-Jones, Andy Ma, Arun John Kadaksham, Stefan Wurm: Roadmap in Mask Fab for Particles/Component Performance Sematech 2009

Exam Microscopic Measurement Techniques 4T th of April, 2008

Exam Microscopic Measurement Techniques 4T th of April, 2008 Exam Microscopic Measurement Techniques 4T300 29 th of April, 2008 Name / Initials: Ident. #: Education: This exam consists of 5 questions. Questions and sub questions will be rewarded with the amount

More information

SOLAR CELL SURFACE INSPECTION USING 3D PROFILOMETRY

SOLAR CELL SURFACE INSPECTION USING 3D PROFILOMETRY SOLAR CELL SURFACE INSPECTION USING 3D PROFILOMETRY Prepared by Benjamin Mell 6 Morgan, Ste16, Irvine CA 92618 P: 949.461.9292 F: 949.461.9232 nanovea.com Today's standard for tomorrow's materials. 21

More information

Optics for nonlinear microscopy

Optics for nonlinear microscopy Optics for nonlinear microscopy Nonlinear microscopy Dispersion management Compact housing In-line input/output apertures High throughput Robust mechanical design Latest generations of Dispersive Mirrors

More information

specular diffuse reflection.

specular diffuse reflection. Lesson 8 Light and Optics The Nature of Light Properties of Light: Reflection Refraction Interference Diffraction Polarization Dispersion and Prisms Total Internal Reflection Huygens s Principle The Nature

More information

Bringing Patterned Media to Production with Value Added Metrology

Bringing Patterned Media to Production with Value Added Metrology Bringing Patterned Media to Production with Value Added Dean Dawson, Andrew S. Lopez Diskcon /IDEMA Conference, Session 6 September 24th, 2009 Overview Introduction AFM Scan Modes New Nanotrench Pattern

More information

High spatial resolution measurement of volume holographic gratings

High spatial resolution measurement of volume holographic gratings High spatial resolution measurement of volume holographic gratings Gregory J. Steckman, Frank Havermeyer Ondax, Inc., 8 E. Duarte Rd., Monrovia, CA, USA 9116 ABSTRACT The conventional approach for measuring

More information

DENTAL WEAR SURFACE USING 3D PROFILOMETRY

DENTAL WEAR SURFACE USING 3D PROFILOMETRY DENTAL WEAR SURFACE USING 3D PROFILOMETRY Prepared by Ali Mansouri 6 Morgan, Ste156, Irvine CA 92618 P: 949.461.9292 F: 949.461.9232 nanovea.com Today's standard for tomorrow's materials. 2016 NANOVEA

More information

Introduction. Part I: Measuring the Wavelength of Light. Experiment 8: Wave Optics. Physics 11B

Introduction. Part I: Measuring the Wavelength of Light. Experiment 8: Wave Optics. Physics 11B Physics 11B Experiment 8: Wave Optics Introduction Equipment: In Part I you use a machinist rule, a laser, and a lab clamp on a stand to hold the laser at a grazing angle to the bench top. In Part II you

More information

Atomic Force Microscope

Atomic Force Microscope Atomic Force Microscope Preparation Before our first appointment to use the microscope, please watch the video tutorials at https://www.afmworkshop.com/atomic-force-microscope-animated-tutorials/. The

More information

NEW OPTICAL MEASUREMENT TECHNIQUE FOR SI WAFER SURFACE DEFECTS USING ANNULAR ILLUMINATION WITH CROSSED NICOLS

NEW OPTICAL MEASUREMENT TECHNIQUE FOR SI WAFER SURFACE DEFECTS USING ANNULAR ILLUMINATION WITH CROSSED NICOLS NEW OPTICAL MEASUREMENT TECHNIQUE FOR SI WAFER SURFACE DEFECTS USING ANNULAR ILLUMINATION WITH CROSSED NICOLS Satoru Takahashi 1, Takashi Miyoshi 1, Yasuhiro Takaya 1, and Takahiro Abe 2 1 Department of

More information

Three-dimensional imaging of 30-nm nanospheres using immersion interferometric lithography

Three-dimensional imaging of 30-nm nanospheres using immersion interferometric lithography Three-dimensional imaging of 30-nm nanospheres using immersion interferometric lithography Jianming Zhou *, Yongfa Fan, Bruce W. Smith Microelectronics Engineering Department, Rochester Institute of Technology,

More information

Reproducing the hierarchy of disorder for Morpho-inspired, broad-angle color reflection

Reproducing the hierarchy of disorder for Morpho-inspired, broad-angle color reflection Supplementary Information for Reproducing the hierarchy of disorder for Morpho-inspired, broad-angle color reflection Bokwang Song 1, Villads Egede Johansen 2,3, Ole Sigmund 3 and Jung H. Shin 4,1,* 1

More information

SCATTEROMETRY OF 50 NM HALF PITCH FEATURES

SCATTEROMETRY OF 50 NM HALF PITCH FEATURES University of New Mexico UNM Digital Repository Optical Science and Engineering ETDs Engineering ETDs Fall 12-15-2016 SCATTEROMETRY OF 50 NM HALF PITCH FEATURES ruichao zhu university of new mexico Follow

More information

4D IMAGING AT YOUR FINGERTIPS Real-time, Portable, High-Resolution Solutions for your Quality Control Needs

4D IMAGING AT YOUR FINGERTIPS Real-time, Portable, High-Resolution Solutions for your Quality Control Needs STDO Dynamic 3D 4D Imaging Microscopy Instrument Systems 4D IMAGING AT YOUR FINGERTIPS Real-time, Portable, High-Resolution Solutions for your Quality Control Needs STDO-HOLO Overview: STDO-HOLO enables

More information

Chapter 24 - The Wave Nature of Light

Chapter 24 - The Wave Nature of Light Chapter 24 - The Wave Nature of Light Summary Four Consequences of the Wave nature of Light: Diffraction Dispersion Interference Polarization Huygens principle: every point on a wavefront is a source of

More information

PRODUCT OVERVIEW. Rupert Perera President, EUV Tech

PRODUCT OVERVIEW. Rupert Perera President, EUV Tech PRODUCT OVERVIEW Rupert Perera President, EUV Tech EUV TECH OVERVIEW Started in 1997, EUV Tech has pioneered the development of EUV metrology tools: EUV Reflectometer o Measures the reflectivity and uniformity

More information

Engineered Diffusers Intensity vs Irradiance

Engineered Diffusers Intensity vs Irradiance Engineered Diffusers Intensity vs Irradiance Engineered Diffusers are specified by their divergence angle and intensity profile. The divergence angle usually is given as the width of the intensity distribution

More information

3D ATOMIC FORCE MICROSCOPY OF HIGH ASPECT RATIO STRUCTURES. R.W. Herfst

3D ATOMIC FORCE MICROSCOPY OF HIGH ASPECT RATIO STRUCTURES. R.W. Herfst 3D ATOMIC FORCE MICROSCOPY OF HIGH ASPECT RATIO STRUCTURES R.W. Herfst CONTENTS Introduction: why 3D AFM measurements Bottlenecks in AFM based 3D metrology for the semiconductor industry TNO approach to

More information

An Inspection and Measurement Technology Platform Leading the Way to More Advanced Manufacturing

An Inspection and Measurement Technology Platform Leading the Way to More Advanced Manufacturing Hitachi Review Vol. 65 (2016), No. 7 277 Featured Articles An Inspection and Measurement Technology Platform Leading the Way to More Advanced Manufacturing Takenori Hirose Maki Tanaka Hiroyuki Nakano,

More information

A SUPER-RESOLUTION MICROSCOPY WITH STANDING EVANESCENT LIGHT AND IMAGE RECONSTRUCTION METHOD

A SUPER-RESOLUTION MICROSCOPY WITH STANDING EVANESCENT LIGHT AND IMAGE RECONSTRUCTION METHOD A SUPER-RESOLUTION MICROSCOPY WITH STANDING EVANESCENT LIGHT AND IMAGE RECONSTRUCTION METHOD Hiroaki Nishioka, Satoru Takahashi Kiyoshi Takamasu Department of Precision Engineering, The University of Tokyo,

More information

Length, Germany, PTB (Physikalisch-Technische Bundesanstalt)

Length, Germany, PTB (Physikalisch-Technische Bundesanstalt) Laser radiations Laser radiations Laser radiations Laser radiations Length Length Frequency stabilized laser (He- Ne): vacuum wavelength Frequency stabilized laser (He- Ne): absolute frequency Frequency

More information

Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating

Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating Darron Jurajda b, Enrico Tenaglia a, Jonathan Jeauneau b, Danilo De Simone a, Zhimin

More information

Eric Lindmark, Ph.D.

Eric Lindmark, Ph.D. Theory and Practical Application Written by: Eric Lindmark, Ph.D. v061608 4611 Chatsworth Street Shoreview, Minnesota, 55126-5813, USA www.promet.net Introduction In theory, higher bit rate fiber optic

More information

MEMS SENSOR FOR MEMS METROLOGY

MEMS SENSOR FOR MEMS METROLOGY MEMS SENSOR FOR MEMS METROLOGY IAB Presentation Byungki Kim, H Ali Razavi, F. Levent Degertekin, Thomas R. Kurfess 9/24/24 OUTLINE INTRODUCTION Motivation Contact/Noncontact measurement Optical interferometer

More information

NEAR-IR BROADBAND POLARIZER DESIGN BASED ON PHOTONIC CRYSTALS

NEAR-IR BROADBAND POLARIZER DESIGN BASED ON PHOTONIC CRYSTALS U.P.B. Sci. Bull., Series A, Vol. 77, Iss. 3, 2015 ISSN 1223-7027 NEAR-IR BROADBAND POLARIZER DESIGN BASED ON PHOTONIC CRYSTALS Bogdan Stefaniţă CALIN 1, Liliana PREDA 2 We have successfully designed a

More information

Effective Medium Theory, Rough Surfaces, and Moth s Eyes

Effective Medium Theory, Rough Surfaces, and Moth s Eyes Effective Medium Theory, Rough Surfaces, and Moth s Eyes R. Steven Turley, David Allred, Anthony Willey, Joseph Muhlestein, and Zephne Larsen Brigham Young University, Provo, Utah Abstract Optics in the

More information

Diffraction Efficiency

Diffraction Efficiency Diffraction Efficiency Turan Erdogan Gratings are based on diffraction and interference: Diffraction gratings can be understood using the optical principles of diffraction and interference. When light

More information

A Study of phase defect measurement on EUV mask by multiple detectors CD-SEM

A Study of phase defect measurement on EUV mask by multiple detectors CD-SEM Title:A Study of phase defect measurement on EUV mask by multiple detectors CD-SEM Authors:Isao Yonekura a, Hidemitsu Hakii a, Shinya Morisaki a Tsutomu Murakawa b, Soichi Shida b,masayuki Kuribara b,toshimichi

More information

O-RING SURFACE INSPECTION USING 3D PROFILOMETRY

O-RING SURFACE INSPECTION USING 3D PROFILOMETRY O-RING SURFACE INSPECTION USING 3D PROFILOMETRY Prepared by Jorge Ramirez 6 Morgan, Ste156, Irvine CA 92618 P: 949.461.9292 F: 949.461.9232 nanovea.com Today's standard for tomorrow's materials. 2010 NANOVEA

More information

Michelson Interferometer

Michelson Interferometer Michelson Interferometer The Michelson interferometer uses the interference of two reflected waves The third, beamsplitting, mirror is partially reflecting ( half silvered, except it s a thin Aluminum

More information

ksa MOS Ultra-Scan Performance Test Data

ksa MOS Ultra-Scan Performance Test Data ksa MOS Ultra-Scan Performance Test Data Introduction: ksa MOS Ultra Scan 200mm Patterned Silicon Wafers The ksa MOS Ultra Scan is a flexible, highresolution scanning curvature and tilt-measurement system.

More information

Advances in Disk Metrology

Advances in Disk Metrology Advances in Disk Metrology Robert Kertayasa Zeta Instruments March 2011 www.zeta-inst.com 1909 Concourse Drive San Jose CA 95131 PHONE (408) 577-1888 FAX (408) 577-0588 Agenda Introduction Technology Sample

More information

dq dt I = Irradiance or Light Intensity is Flux Φ per area A (W/m 2 ) Φ =

dq dt I = Irradiance or Light Intensity is Flux Φ per area A (W/m 2 ) Φ = Radiometry (From Intro to Optics, Pedrotti -4) Radiometry is measurement of Emag radiation (light) Consider a small spherical source Total energy radiating from the body over some time is Q total Radiant

More information

Redefining Critical in Critical Dimension Metrology

Redefining Critical in Critical Dimension Metrology Redefining Critical in Critical Dimension Metrology Farid Askary a and Neal T. Sullivan b a MetroBoost, 1750 Halford Avenue, Suite 218, Santa Clara, CA 95051 b Schlumberger Semiconductor Solutions, 45

More information

Compact Disc How it Works?

Compact Disc How it Works? Compact Disc How it Works? A Compact Disc (CD) is an optical disc used to store digital data. CD-ROMs and CD-Rs remain widely used technologies in the computer industry.cd-rom drives employ a near-infrared

More information

1.! Questions about reflected intensity. [Use the formulas on p. 8 of Light.] , no matter

1.! Questions about reflected intensity. [Use the formulas on p. 8 of Light.] , no matter Reading: Light Key concepts: Huygens s principle; reflection; refraction; reflectivity; total reflection; Brewster angle; polarization by absorption, reflection and Rayleigh scattering. 1.! Questions about

More information

Microscopy. Marc McGuigan North Quincy High School Thursday, May 11, 2006

Microscopy. Marc McGuigan North Quincy High School Thursday, May 11, 2006 Microscopy Marc McGuigan North Quincy High School Thursday, May 11, 006 Outline Activity Introduction Electromagnetic Spectrum Visible Light Light Microscope AFM Scanning Electron Microscopy Near-Field

More information

CARBON NANOTUBE FLAT PLATE BLACKBODY CALIBRATOR. John C. Fleming

CARBON NANOTUBE FLAT PLATE BLACKBODY CALIBRATOR. John C. Fleming CARBON NANOTUBE FLAT PLATE BLACKBODY CALIBRATOR John C. Fleming Ball Aerospace, jfleming@ball.com Sandra Collins, Beth Kelsic, Nathan Schwartz, David Osterman, Bevan Staple Ball Aerospace, scollins@ball.com

More information

AVT-1000 Advanced Vibrometry Tester. Cutting Edge Optical Surface Analyzer Technology for Nano-defect and Topography Measurements

AVT-1000 Advanced Vibrometry Tester. Cutting Edge Optical Surface Analyzer Technology for Nano-defect and Topography Measurements AVT-1000 Advanced Vibrometry Tester Cutting Edge Optical Surface Analyzer Technology for Nano-defect and Topography Measurements Using the Best Technology... Why use Advanced Vibrometry? Repeatability:

More information

CAUTION: NEVER LOOK DIRECTLY INTO THE LASER BEAM.

CAUTION: NEVER LOOK DIRECTLY INTO THE LASER BEAM. LABORATORY 12 PHYSICAL OPTICS I: INTERFERENCE AND DIFFRACTION Objectives To be able to explain demonstrate understanding of the dependence of a double slit interference pattern on slit width, slit separation

More information

Novel Tip Shape Reconstruction Method for Restoration of AFM Topography Images Using Nano-structures with Given Shapes

Novel Tip Shape Reconstruction Method for Restoration of AFM Topography Images Using Nano-structures with Given Shapes ANALYTICAL SCIENCES FEBRUARY 2011, VOL. 27 157 2011 The Japan Society for Analytical Chemistry Novel Tip Shape Reconstruction Method for Restoration of AFM Topography Images Using Nano-structures with

More information

Advanced materials research using the Real-Time 3D Analytical FIB-SEM 'NX9000'

Advanced materials research using the Real-Time 3D Analytical FIB-SEM 'NX9000' SCIENTIFIC INSTRUMENT NEWS 2017 Vol. 9 SEPTEMBER Technical magazine of Electron Microscope and Analytical Instruments. Technical Explanation Advanced materials research using the Real-Time 3D Analytical

More information

Coupling of surface roughness to the performance of computer-generated holograms

Coupling of surface roughness to the performance of computer-generated holograms Coupling of surface roughness to the performance of computer-generated holograms Ping Zhou* and Jim Burge College of Optical Sciences, University of Arizona, Tucson, Arizona 85721, USA *Corresponding author:

More information

Design of three-dimensional photoelectric stylus micro-displacement measuring system

Design of three-dimensional photoelectric stylus micro-displacement measuring system Available online at www.sciencedirect.com Procedia Engineering 15 (011 ) 400 404 Design of three-dimensional photoelectric stylus micro-displacement measuring system Yu Huan-huan, Zhang Hong-wei *, Liu

More information

Advanced modelling of gratings in VirtualLab software. Site Zhang, development engineer Lignt Trans

Advanced modelling of gratings in VirtualLab software. Site Zhang, development engineer Lignt Trans Advanced modelling of gratings in VirtualLab software Site Zhang, development engineer Lignt Trans 1 2 3 4 Content Grating Order Analyzer Rigorous Simulation of Holographic Generated Volume Grating Coupled

More information

SURFACE FINISH INSPECTION OF WOOD USING 3D PROFILOMETRY

SURFACE FINISH INSPECTION OF WOOD USING 3D PROFILOMETRY SURFACE FINISH INSPECTION OF WOOD USING 3D PROFILOMETRY Prepared by Duanjie Li & Craig Leising 6 Morgan, Ste156, Irvine CA 92618 P: 949.461.9292 F: 949.461.9232 nanovea.com Today's standard for tomorrow's

More information

PLASTIC FILM TEXTURE MEASUREMENT USING 3D PROFILOMETRY

PLASTIC FILM TEXTURE MEASUREMENT USING 3D PROFILOMETRY PLASTIC FILM TEXTURE MEASUREMENT USING 3D PROFILOMETRY Prepared by Jorge Ramirez 6 Morgan, Ste156, Irvine CA 92618 P: 949.461.9292 F: 949.461.9232 nanovea.com Today's standard for tomorrow's materials.

More information

Error Analysis in Inverse Scatterometry I: Modeling

Error Analysis in Inverse Scatterometry I: Modeling Error Analysis in Inverse Scatterometry I: Modeling Rayan M. Alassaad and Dale M. Byrne i Erik Jonsson School of Engineering and Computer Science, University of Texas at Dallas, MS EC33, Richardson, TX

More information

INSPECTION OF MACHINED PARTS FROM CAD MODEL USING 3D PROFILOMETRY

INSPECTION OF MACHINED PARTS FROM CAD MODEL USING 3D PROFILOMETRY INSPECTION OF MACHINED PARTS FROM CAD MODEL USING 3D PROFILOMETRY Prepared by Duanjie Li, PhD, Erik Steinholt and Jeronimo Silva 6 Morgan, Ste156, Irvine CA 92618 P: 949.461.9292 F: 949.461.9232 nanovea.com

More information

Sample study by 3D optical profiler Contour Elite K for KTH university.

Sample study by 3D optical profiler Contour Elite K for KTH university. Sample study by 3D optical profiler Contour Elite K for KTH university Samuel.lesko@bruker.com Objectives Objectives Main goals for the visit consist of evaluating 3D optical profiler: Confirm capability

More information

Sensor based adaptive laser micromachining using ultrashort pulse lasers for zero-failure manufacturing

Sensor based adaptive laser micromachining using ultrashort pulse lasers for zero-failure manufacturing Sensor based adaptive laser micromachining using ultrashort pulse lasers for zero-failure manufacturing Fraunhofer Institute for Production Technology, Aachen M. Sc. Guilherme Mallmann Prof. Dr.-Ing. Robert

More information

Diffraction-based approaches to the in-situ measurement of dimensional variations in components produced by thermoplastic micro- and nano-embossing

Diffraction-based approaches to the in-situ measurement of dimensional variations in components produced by thermoplastic micro- and nano-embossing Diffraction-based approaches to the in-situ measurement of dimensional variations in components produced by thermoplastic micro- and nano-embossing Hayden Taylor and Duane Boning 23 January 2008 Microsystems

More information

f. (5.3.1) So, the higher frequency means the lower wavelength. Visible part of light spectrum covers the range of wavelengths from

f. (5.3.1) So, the higher frequency means the lower wavelength. Visible part of light spectrum covers the range of wavelengths from Lecture 5-3 Interference and Diffraction of EM Waves During our previous lectures we have been talking about electromagnetic (EM) waves. As we know, harmonic waves of any type represent periodic process

More information

DAMAGE INSPECTION AND EVALUATION IN THE WHOLE VIEW FIELD USING LASER

DAMAGE INSPECTION AND EVALUATION IN THE WHOLE VIEW FIELD USING LASER DAMAGE INSPECTION AND EVALUATION IN THE WHOLE VIEW FIELD USING LASER A. Kato and T. A. Moe Department of Mechanical Engineering Chubu University Kasugai, Aichi 487-8501, Japan ABSTRACT In this study, we

More information

EMPIR Grant Agreement 14IND07 3D Stack

EMPIR Grant Agreement 14IND07 3D Stack EMPIR Grant Agreement 14IND07 3D Stack Good Practice Guide: Recommendations on the strategy for measuring the dimensional properties of TSVs based on Confocal microscopy, IR interferometry and optical

More information

OPSE FINAL EXAM Fall CLOSED BOOK. Two pages (front/back of both pages) of equations are allowed.

OPSE FINAL EXAM Fall CLOSED BOOK. Two pages (front/back of both pages) of equations are allowed. CLOSED BOOK. Two pages (front/back of both pages) of equations are allowed. YOU MUST SHOW YOUR WORK. ANSWERS THAT ARE NOT JUSTIFIED WILL BE GIVEN ZERO CREDIT. ALL NUMERICAL ANSERS MUST HAVE UNITS INDICATED.

More information

FRESNEL LENS DIMENSIONS USING 3D PROFILOMETRY

FRESNEL LENS DIMENSIONS USING 3D PROFILOMETRY FRESNEL LENS DIMENSIONS USING 3D PROFILOMETRY Prepared by Duanjie Li & Benjamin Mell 6 Morgan, Ste156, Irvine CA 92618 P: 949.461.9292 F: 949.461.9232 nanovea.com Today's standard for tomorrow's materials.

More information

Radiometry (From Intro to Optics, Pedrotti 1-4) Radiometry is measurement of Emag radiation (light) Consider a small spherical source Assume a black

Radiometry (From Intro to Optics, Pedrotti 1-4) Radiometry is measurement of Emag radiation (light) Consider a small spherical source Assume a black Radiometry (From Intro to Optics, Pedrotti -4) Radiometry is measurement of Emag radiation (light) Consider a small spherical source Assume a black body type emitter: uniform emission Total energy radiating

More information

PHYS2002 Spring 2012 Practice Exam 3 (Chs. 25, 26, 27) Constants

PHYS2002 Spring 2012 Practice Exam 3 (Chs. 25, 26, 27) Constants PHYS00 Spring 01 Practice Exam 3 (Chs. 5, 6, 7) Constants m m q q p e ε = 8.85 o o p e = 1.67 = 9.11 7 9 7 31 = + 1.60 = 1.60 μ = 4π k = 8.99 g = 9.8 m/s 1 kg 19 19 C kg T m/a N m C / N m C / C 1. A convex

More information

Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba

Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba Advanced Mask Technology Center Dresden, Germany Senior Member of Technical Staff Advanced Mask Technology Center Dresden Key Facts

More information

Physics 228 Today: Diffraction, diffraction grating

Physics 228 Today: Diffraction, diffraction grating Physics 228 Today: Diffraction, diffraction grating Website: Sakai 01:750:228 or www.physics.rutgers.edu/ugrad/228 Diffraction is a further expansion of the idea of interference. We expand from two sources

More information

MACHINING SURFACE FINISH QUALITY USING 3D PROFILOMETRY

MACHINING SURFACE FINISH QUALITY USING 3D PROFILOMETRY MACHINING SURFACE FINISH QUALITY USING 3D PROFILOMETRY Prepared by Duanjie Li, PhD Morgan, Ste1, Irvine CA 91 P: 99.1.99 F: 99.1.93 nanovea.com Today's standard for tomorrow's materials. 1 NANOVEA INTRODUCTION

More information

Physics 1CL WAVE OPTICS: INTERFERENCE AND DIFFRACTION Fall 2009

Physics 1CL WAVE OPTICS: INTERFERENCE AND DIFFRACTION Fall 2009 Introduction An important property of waves is interference. You are familiar with some simple examples of interference of sound waves. This interference effect produces positions having large amplitude

More information

POWDER COATING FINISH MEASUREMENT USING 3D PROFILOMETRY

POWDER COATING FINISH MEASUREMENT USING 3D PROFILOMETRY POWDER COATING FINISH MEASUREMENT USING 3D PROFILOMETRY Prepared by Craig Leising 6 Morgan, Ste156, Irvine CA 92618 P: 949.461.9292 F: 949.461.9232 nanovea.com Today's standard for tomorrow's materials.

More information

D&S Technical Note 09-2 D&S A Proposed Correction to Reflectance Measurements of Profiled Surfaces. Introduction

D&S Technical Note 09-2 D&S A Proposed Correction to Reflectance Measurements of Profiled Surfaces. Introduction Devices & Services Company 10290 Monroe Drive, Suite 202 - Dallas, Texas 75229 USA - Tel. 214-902-8337 - Fax 214-902-8303 Web: www.devicesandservices.com Email: sales@devicesandservices.com D&S Technical

More information

Chapter 36. Diffraction. Dr. Armen Kocharian

Chapter 36. Diffraction. Dr. Armen Kocharian Chapter 36 Diffraction Dr. Armen Kocharian Diffraction Light of wavelength comparable to or larger than the width of a slit spreads out in all forward directions upon passing through the slit This phenomena

More information

AP* Optics Free Response Questions

AP* Optics Free Response Questions AP* Optics Free Response Questions 1978 Q5 MIRRORS An object 6 centimeters high is placed 30 centimeters from a concave mirror of focal length 10 centimeters as shown above. (a) On the diagram above, locate

More information

SPECTRUM. The world s first fully automated Raman AFM. AFM - confocal Raman - SNOM - TERS AFM KPFM. Raman. AFM-Raman characterization of PS-PVAC

SPECTRUM. The world s first fully automated Raman AFM. AFM - confocal Raman - SNOM - TERS AFM KPFM. Raman. AFM-Raman characterization of PS-PVAC Raman KPFM AFM AFM-Raman characterization of PS-PVAC polymer blend film SPECTRUM The world s first fully automated Raman AFM AFM - confocal Raman - SNOM - TERS The first fully integrated & automated AFM

More information

Optical Topography Measurement of Patterned Wafers

Optical Topography Measurement of Patterned Wafers Optical Topography Measurement of Patterned Wafers Xavier Colonna de Lega and Peter de Groot Zygo Corporation, Laurel Brook Road, Middlefield CT 6455, USA xcolonna@zygo.com Abstract. We model the measurement

More information

Textbook Reference: Physics (Wilson, Buffa, Lou): Chapter 24

Textbook Reference: Physics (Wilson, Buffa, Lou): Chapter 24 AP Physics-B Physical Optics Introduction: We have seen that the reflection and refraction of light can be understood in terms of both rays and wave fronts of light. Light rays are quite compatible with

More information

Stochastics and the Phenomenon of Line-Edge Roughness

Stochastics and the Phenomenon of Line-Edge Roughness Stochastics and the Phenomenon of Line-Edge Roughness Chris Mack February 27, 2017 Tutorial talk at the SPIE Advanced Lithography Symposium, San Jose, California What s so Hard about Roughness? Roughness

More information

Length, United States, NIST (National Institute of Standards and Technology)

Length, United States, NIST (National Institute of Standards and Technology) Calibration or s Laser radiations Other stabilized laser: vacuum wavelength Optical beat frequency 633 633 4E-10 2 95% Yes 1 Length instruments Laser system: error of indicated L Comparison to master length

More information

Anisotropic scaling of hard disk surface structures

Anisotropic scaling of hard disk surface structures JOURNAL OF APPLIED PHYSICS VOLUME 88, NUMBER 6 15 SEPTEMBER 2000 Anisotropic scaling of hard disk surface structures T. Karabacak a) and Y.-P. Zhao Department of Physics, Applied Physics and Astronomy,

More information

Lecture: P1_Wk3_L5 Contact Mode Scans. Ron Reifenberger Birck Nanotechnology Center Purdue University 2012

Lecture: P1_Wk3_L5 Contact Mode Scans. Ron Reifenberger Birck Nanotechnology Center Purdue University 2012 Lecture: Contact Mode Scans Ron Reifenberger Birck Nanotechnology Center Purdue University 2012 1 The Purpose of a Microscope is to Obtain an Image Reflected laser spot Laser Diode Four-Quadrant Photodetector

More information

Chapter 32 Light: Reflection and Refraction. Copyright 2009 Pearson Education, Inc.

Chapter 32 Light: Reflection and Refraction. Copyright 2009 Pearson Education, Inc. Chapter 32 Light: Reflection and Refraction Units of Chapter 32 The Ray Model of Light Reflection; Image Formation by a Plane Mirror Formation of Images by Spherical Mirrors Index of Refraction Refraction:

More information

Ralf K. Heilmann CAT-GS: Critical-Angle Transmission Grating Spectrometer January 27,

Ralf K. Heilmann CAT-GS: Critical-Angle Transmission Grating Spectrometer January 27, Ralf K. Heilmann CAT-GS: Critical-Angle Transmission Grating Spectrometer January 27, 2009 1 Overview of CAT-GS Mission requirements: Effective area > 1000 cm 2 (0.3 1 kev) Spectral resolution E/ΔE > 3000

More information

2.) An overhead projector forms an image of a transparency on a screen:

2.) An overhead projector forms an image of a transparency on a screen: 1.) You have measured the wavelength λ of a spectral lamp using a diffraction grating and the relation λ = d sin Θ. Your uncertainty in the grating spacing d is 0.5% and your uncertainty in your angle

More information

Dr. Larry J. Paxton Johns Hopkins University Applied Physics Laboratory Laurel, MD (301) (301) fax

Dr. Larry J. Paxton Johns Hopkins University Applied Physics Laboratory Laurel, MD (301) (301) fax Dr. Larry J. Paxton Johns Hopkins University Applied Physics Laboratory Laurel, MD 20723 (301) 953-6871 (301) 953-6670 fax Understand the instrument. Be able to convert measured counts/pixel on-orbit into

More information

Mode-Field Diameter and Spot Size Measurements of Lensed and Tapered Specialty Fibers

Mode-Field Diameter and Spot Size Measurements of Lensed and Tapered Specialty Fibers Mode-Field Diameter and Spot Size Measurements of Lensed and Tapered Specialty Fibers By Jeffrey L. Guttman, Ph.D., Director of Engineering, Ophir-Spiricon Abstract: The Mode-Field Diameter (MFD) and spot

More information

AUTOMATED 4 AXIS ADAYfIVE SCANNING WITH THE DIGIBOTICS LASER DIGITIZER

AUTOMATED 4 AXIS ADAYfIVE SCANNING WITH THE DIGIBOTICS LASER DIGITIZER AUTOMATED 4 AXIS ADAYfIVE SCANNING WITH THE DIGIBOTICS LASER DIGITIZER INTRODUCTION The DIGIBOT 3D Laser Digitizer is a high performance 3D input device which combines laser ranging technology, personal

More information

OPTICAL TECHNOLOGIES FOR TSV INSPECTION Arun A. Aiyer, Frontier Semiconductor 2127 Ringwood Ave, San Jose, California 95131

OPTICAL TECHNOLOGIES FOR TSV INSPECTION Arun A. Aiyer, Frontier Semiconductor 2127 Ringwood Ave, San Jose, California 95131 OPTICAL TECHNOLOGIES FOR TSV INSPECTION Arun A. Aiyer, Frontier Semiconductor 2127 Ringwood Ave, San Jose, California 95131 ABSTRACT: In this paper, Frontier Semiconductor will introduce a new technology

More information

Scattering/Wave Terminology A few terms show up throughout the discussion of electron microscopy:

Scattering/Wave Terminology A few terms show up throughout the discussion of electron microscopy: 1. Scattering and Diffraction Scattering/Wave Terology A few terms show up throughout the discussion of electron microscopy: First, what do we mean by the terms elastic and inelastic? These are both related

More information

NanoScale Storage Systems Inc.

NanoScale Storage Systems Inc. NanoScale Storage Systems Inc. NanoTechnology for Hard Disk Drives Joe Straub 7100 Nanjemoy CT Falls Church VA 22046-3851 Phone: +1-703-241-0882 FAX: +1-703-241-0735 E-mail: joseph.straub@verizon.net Presented

More information

Micro Cutting Tool Measurement by Focus-Variation

Micro Cutting Tool Measurement by Focus-Variation Micro Cutting Tool Measurement by Focus-Variation Stefan Scherer 1, Reinhard Danzl 2, and Franz Helmli 3 1 CEO Alicona*; e-mail: stefan.scherer@alicona.com 2 Alicona Research*; e-mail: reinhard.danzl@alicona.com

More information

Scattering measurements. Guidelines for measurements service

Scattering measurements. Guidelines for measurements service Scattering measurements Guidelines for measurements service 1 Content Introduction Light Tec Presentation Instruments availalable. Scattering measurements Refelctors Diffusers Colors issuses Volume Scattering

More information

COMPRESSION SET IN SITU MEASUREMENT USING 3D PROFILOMETRY. Compression Set time: 1 min 10 min 30 min 60 min. Prepared by Duanjie Li, PhD

COMPRESSION SET IN SITU MEASUREMENT USING 3D PROFILOMETRY. Compression Set time: 1 min 10 min 30 min 60 min. Prepared by Duanjie Li, PhD COMPRESSION SET IN SITU MEASUREMENT USING 3D PROFILOMETRY Compression Set time: 1 min 10 min 30 min 60 min Recovered Height (um) 400 350 300 250 200 150 100 50 0-50 0 10 20 30 40 50 60 Time (min) Prepared

More information

for R&D and Production Advanced Technology OAI is a Silicon Valley-based manufacturer of advanced precision equipment

for R&D and Production Advanced Technology OAI is a Silicon Valley-based manufacturer of advanced precision equipment Advanced Technology for R&D and Production OAI is a Silicon Valley-based manufacturer of advanced precision equipment for the MEMS, Semiconductor, Nanotechnology, Microfluidics, MEMS Micro TAS and Flat

More information

Tilt-corrected stitching for electron beam lithography

Tilt-corrected stitching for electron beam lithography Tilt-corrected stitching for electron beam lithography To appear in Microelectronic Engineering S Thoms* and D S Macintyre Nanoelectronics Research Centre, Department of Electronics and Electrical Engineering,

More information

University Physics (Prof. David Flory) Chapt_37 Monday, August 06, 2007

University Physics (Prof. David Flory) Chapt_37 Monday, August 06, 2007 Name: Date: 1. If we increase the wavelength of the light used to form a double-slit diffraction pattern: A) the width of the central diffraction peak increases and the number of bright fringes within

More information

Null test for a highly paraboloidal mirror

Null test for a highly paraboloidal mirror Null test for a highly paraboloidal mirror Taehee Kim, James H. Burge, Yunwoo Lee, and Sungsik Kim A circular null computer-generated hologram CGH was used to test a highly paraboloidal mirror diameter,

More information

Identification of process phenomena in DMLS by optical inprocess

Identification of process phenomena in DMLS by optical inprocess Lasers in Manufacturing Conference 2015 Identification of process phenomena in DMLS by optical inprocess monitoring R. Domröse a, *, T. Grünberger b a EOS GmbH Electro Optical Systems, Robert-Stirling-Ring

More information

diffraction patterns obtained with convergent electron beams yield more information than patterns obtained with parallel electron beams:

diffraction patterns obtained with convergent electron beams yield more information than patterns obtained with parallel electron beams: CBED-Patterns Principle of CBED diffraction patterns obtained with convergent electron beams yield more information than patterns obtained with parallel electron beams: specimen thickness more precise

More information

College Physics B - PHY2054C

College Physics B - PHY2054C Young College - PHY2054C Wave Optics: 10/29/2014 My Office Hours: Tuesday 10:00 AM - Noon 206 Keen Building Outline Young 1 2 3 Young 4 5 Assume a thin soap film rests on a flat glass surface. Young Young

More information

dq dt I = Irradiance or Light Intensity is Flux Φ per area A (W/m 2 ) Φ =

dq dt I = Irradiance or Light Intensity is Flux Φ per area A (W/m 2 ) Φ = Radiometry (From Intro to Optics, Pedrotti -4) Radiometry is measurement of Emag radiation (light) Consider a small spherical source Total energy radiating from the body over some time is Q total Radiant

More information

Light and the Properties of Reflection & Refraction

Light and the Properties of Reflection & Refraction Light and the Properties of Reflection & Refraction OBJECTIVE To study the imaging properties of a plane mirror. To prove the law of reflection from the previous imaging study. To study the refraction

More information

Interference of Light

Interference of Light Interference of Light Young s Double-Slit Experiment If light is a wave, interference effects will be seen, where one part of wavefront can interact with another part. One way to study this is to do a

More information

3D Surface Metrology on PV Solar Wafers

3D Surface Metrology on PV Solar Wafers 3D Surface Metrology on PV Solar Wafers Karl- Heinz Strass cybertechnologies USA 962 Terra Bella Ave San Jose CA 95125 P: 408-689-8144 www.cybertechnologies.com Introduction Solar photovoltaics is the

More information

Confocal Raman Imaging with WITec Sensitivity - Resolution - Speed. Always - Provable - Routinely

Confocal Raman Imaging with WITec Sensitivity - Resolution - Speed. Always - Provable - Routinely Confocal Raman Imaging with WITec Sensitivity - Resolution - Speed Always - Provable - Routinely WITec GmbH, Ulm, Germany, info@witec.de, www.witec.de A modular microscope series An Example: FLIM optical

More information

UMASIS, an analysis and visualization tool for developing and optimizing ultrasonic inspection techniques

UMASIS, an analysis and visualization tool for developing and optimizing ultrasonic inspection techniques 17th World Conference on Nondestructive Testing, 25-28 Oct 2008, Shanghai, China UMASIS, an analysis and visualization tool for developing and optimizing ultrasonic inspection techniques Abstract Joost

More information

Interference of Light

Interference of Light Interference of Light Review: Principle of Superposition When two or more waves interact they interfere. Wave interference is governed by the principle of superposition. The superposition principle says

More information