Modeling MultiGigabit FPGA Channels with Agilent ADS 2008

Size: px
Start display at page:

Download "Modeling MultiGigabit FPGA Channels with Agilent ADS 2008"

Transcription

1 Modeling MultiGigabit FPGA Channels with Agilent ADS 2008 Andy Turudic Sr. Manager, High-End FPGAs Altera Amolak Badesha Field Applications Engineer - Agilent 2008 Altera Corporation Back Plane Studies S-Parameter Matrix S11 S12 S13 S14 S21 S22 S23 S24 S31 S32 S33 S34 S41 S42 S43 S44 2 Multigigabit FPGA Channels 1

2 Curve Fitting 3 - Pole Function 4 - Pole Function Minimum of 3 zeros are required to enable 6 Gbps. 4 zeros are preferred to improve flexibility 1 st Pole = 628MHz 2 nd Pole = 3.8GHz 3 rd Pole = 6.5GHz 4 th Pole = 7GHz 6G 10G 3 Back Planes Attenuation Curves Pole Locations Vary With Different BP, Cannot Use the Same Pole Function - Need Greater Flexibility! 4 Multigigabit FPGA Channels 2

3 Equalizer Transfer Function 16db DC Gain Adjustment [0dB-6dB] High Frequency Gain Adjustment [0dB-16dB] 0db Slope Adjustment [20dB-80dB] 5 Receiver Equalization Cascaded structure Different backplanes have different attenuation characteristics Need to accommodate backplane slopes of up to 80db/dec 4 cascaded stages have gain divided among them Require wide control and bandwidth optimization Zero insertion Each stage can contribute a zero in the transfer function Zero insertion is programmable Total of 4 zeros is sufficient for most legacy backplanes running up to 6.5 Gbps Total of 1,200 settings Low EQ settings available to prevent over-equalization in short reach 6 Multigigabit FPGA Channels 3

4 RD2A_RES[1:0] D2AOUTA[2:0] D2AOUTB[2:0] D2AOUTC[2:0] D2AOUTD[2:0] D2AOUTV[2:0] D2AOUTRGEN[2:0] RX Equalization FFE Feed Forward Equalization Linear with adaptive engine Requires no prior data knowledge Equalizer OFF Equalizer ON TX Near-end eye Far-end eye RX RX output 7 Altera s Adaptive Equalizer RRGEN_VOD[2:0] RRGEN_BW[1:0] RF_LPF[1:0] RF_HPF[1:0] RDC_FREQ[1:0] RRECT_ADJ[1:0] RXin EQ[A:D]_CTRL, EQV_CTRL D2As D2AOUTA[7:0] D2AOUTB[7:0] D2AOUTC[7:0] D2AOUTD[7:0] D2AOUTV[7:0] D2AOUTRGEN[7:0] RADCE_ADAPT RADCE_PDB RADCE_RST 4 stage EQ RGEN_CTRL Controls Digital Filter + Controls EQ_OUT RADCE_BYPASS RSEQ_SEL[1:0] RCLKDIV[3:0] RHYST[2:0] FIXED_CLK EQA_SET[2:0] EQB_SET[2:0] EQC_SET[2:0] EQD_SET[2:0] RRGEN_SET[2:0] EQV_SET[2:0] LF_CLK HF_CLK Rect UP_DNN_LF UP_DNN_HF Rect RGEN LPF HPF LPF UPDNN_LF UPDNN_HF RGEN_OUT Rect Adaptive Dispersion Compensation Engine RLF_OS[3:0] RHF_OS[3:0] HPF Rect RGEN Reference edge generator. This block generates an ideal reference edge to compare against the output of the equalizer. The adaptation is complete once the energy of the equalizer output is equal to the output energy of the RGEN block. LPF Low pass filter used to extract low frequency component of equalizer and RGEN outputs. HPF High pass filter used to extract high-frequency component of equalizer and RGEN outputs. RECT Rectifiers and integrators used to extract the envelope of the signal. Comparators Used to compare energy level of the two signals presented to it. Digital controls and filter Used to control rate of adaptation, sequence of adaptation and location of zeros for the equalizer. D2A Digital to analog converter used to generate the control voltage to the equalizer and RGEN blocks. 8 Multigigabit FPGA Channels 4

5 UP_DNN_LF UP_DNN_HF Adaptive Movie - XAUI Backplane Another example: Completely closed eye at RX running 6.5 G through XAUI 3G legacy backplane Go to and search for ADCE to see movie After Equalizer RRGEN_BW[1:0] VEQ1 VEQ2 VEQ3 VEQ4 VRGEN RXin EQ stage 1 VVAR EQ stage 2 EQ stage 3 EQ EQ_OUT stage 4 RGEN RGEN_OUT F[1:0] F[1:0] RGEN_CTRL LPF HPF LPF HPF Controls Rect Rect Rect Rect D2As D2As State Machine TX Adaptive Engine D2A controls CLK State Machine controls LF_OFFSET[2:0] HF_OFFSET[2:0] 9 TX Driver Considerations Low power: We use AB class design a.k.a charge conservation driver Half of quiescent current vs. A-class Inherent output symmetry (including single ended eye) Reduced EMI Improved link performance PCIe specification limits common mode driver fluctuation Superior ESD (2kV HBM) w/o dedicated structure - reduced capacitive pin load, better performance Variable VCCHTX power: 1.2V or 1.5V: Provides system flexibility and additional power reduction Output drive ranging from 200mVpp to 1.5V supply Programmable accurately calibrated termination Programmable common-mode: 0.7 and 0.6V 10 Multigigabit FPGA Channels 5

6 + - Altera s Stratix FPGA TX Driver Features Programmable common-mode output voltage. Ip_pre Ip_m ain Ip_post1 Ip_post2 Programmable On-chip Termination: 100, 120, 150 ohm, and off-state. outb 50/60/75 50/60/75 out In_pre In_post1 In_m ain In_post2 Programmable emphasis 1 Pre-tap 1 Main tap 2 Post taps Z Programmable tap polarity -1 Pre-em phasis D ig ita l F ilte r 11 Z +1 Z -2 +/- +/- 1 st pre-tap 2 nd post tap TX Equalization Transmit pre-emphasis (FIR): Pre-tap - compensates pre-cursor ISI Post-taps - compensates post-cursor ISI TX Near-end eye Far-end eye RX RX output 12 Multigigabit FPGA Channels 6

7 Molex 1m I-Trac Backplane Insertion Loss 13 Molex 1m I-Trac Gbps* Measured Pulse Responses of 1m Far End Sweep of Pre-Emphasis for 1m Far End Near End Pulse Response with Pre-emphasis Eye with Pre-Emphasis for 1m at Far End *From DesignCon 2007 paper 14 Multigigabit FPGA Channels 7

8 Stratix-II GX FPGA TxRx Design Kit for ADS Download Altera s Transceiver design kit for ADS for free Available now from 15 Stratix-II GX Design Kit in ADS Easy setup for corner case simulation Easy output voltage/current controls, taps & seeds 16 Multigigabit FPGA Channels 8

9 Stratix-II GX Design Kit in ADS Easy multi-tap pre-emphasis settings Easy settings for receiver equalization 17 Using Stratix-II GX Design Kit Remember to check Node Voltage on if you define your own node names. Insert Channel 18 Multigigabit FPGA Channels 9

10 1m Molex i-trac Backplane 19 Real-Time Simulation on ADS 2008 of Stratix II GX FPGA on a 1m Molex i-trac Channel For 6.25Gb/s case study, -20dB at F nyquist 20 Multigigabit FPGA Channels 10

11 FPGA Tx - No Pre-emphasis ADS Simulation with:tx_pre_em_1t = tx_1tap0 and no Cable 21 FPGA Tx Signal with Pre-emphasis ADS Simulation with:- eye_density(frontpanel_eye(out_p[0::sweep_size(out_p)-90],6.25e9,,80.90psec),451,321) TX_PRE_EM_1T = tx_1tap tim e, ps ec 22 Multigigabit FPGA Channels 11

12 Cable Empirical Model How to approximate scope cable? 23 Impact of Measurement Cables on Tx Out Measurement cable has significant impact on the response 24 Multigigabit FPGA Channels 12

13 Stratix-II GX Evaluation Kit 30,000~130,000 Logic Element FPGA 600 Mbps ~ Gbps operation Pre-emphasis and equalization Demonstrated on 1.25m FR-4 BP Direct-to-optics OC-48 jitter compliance Production qualified 25 Signal Integrity Kit board includes device, power, 6 channels -> one with a 40 inch serpentine 40 Differential Pair Layout in ADS Allegro layout files -> ADS extracted geometry and model 26 Multigigabit FPGA Channels 13

14 Insertion Loss of 40 Differential Channel 0-25 db(s(1,1)) db(s(1,2)) freq, GHz 27 Impact of Measurement Cables Measurement cable may have significant impact on the response db(channel_s_parameter_plus_cable..s(1,2)) db(channel_s_parameter..s(1,2)) freq, GHz 28 Multigigabit FPGA Channels 14

15 Transmitter Simulation- 40 Channel 29 eye_density(frontpanel_eye(out_p[0::sweep_size(out_p)-90],6.25e9,,109.90psec),451,32 Transmitter + Pre-emphasis on 40 inch Trace t im e, p s e c 30 Multigigabit FPGA Channels 15

16 Insertion Loss (s-21) of Molex i-trac Backplane For 6.25Gb/s case study, -20dB at F nyquist 31 Transmitter Simulation of 1m Molex i-trac Backplane 32 Multigigabit FPGA Channels 16

17 Stratix II GX with Molex 1m i-trac Far End 33 Simulate FPGA Channels with ADS 2008 ADS 2008 is available for download NOW From Agilent at Altera s ADS Design Kit for Stratix II GX FPGAs is available for free download NOW (40nm in April) from Altera s website: Availability of Altera s next generation FPGA s design kit: contact Andy Turudic at Altera aturudic@altera.com Use all of the features & libraries supported by ADS Imports layouts from common EDA platforms Excellent correlation between ADS and your bench 200 bit simulations in about 10~15 minutes! For more info, contact the Agilent or Altera sales teams 34 Multigigabit FPGA Channels 17

Optimal Management of System Clock Networks

Optimal Management of System Clock Networks Optimal Management of System Networks 2002 Introduction System Management Is More Challenging No Longer One Synchronous per System or Card Must Design Source-Synchronous or CDR Interfaces with Multiple

More information

SAS-2 Zero-Length Test Load Characterization (07-013r7) Barry Olawsky Hewlett Packard (8/2/2007)

SAS-2 Zero-Length Test Load Characterization (07-013r7) Barry Olawsky Hewlett Packard (8/2/2007) SAS-2 Zero-Length Test Load Characterization (07-013r7) Barry Olawsky Hewlett Packard (8/2/2007) 07-013r7 SAS-2 Zero-Length Test Load Characterization 1 Zero-Length Test Load Provides ideal connection

More information

Board Design Guidelines for PCI Express Architecture

Board Design Guidelines for PCI Express Architecture Board Design Guidelines for PCI Express Architecture Cliff Lee Staff Engineer Intel Corporation Member, PCI Express Electrical and Card WGs The facts, techniques and applications presented by the following

More information

Gain and Equalization Adaptation to Optimize the Vertical Eye Opening in a Wireline Receiver. D. Dunwell and A. Chan Carusone University of Toronto

Gain and Equalization Adaptation to Optimize the Vertical Eye Opening in a Wireline Receiver. D. Dunwell and A. Chan Carusone University of Toronto Gain and Equalization Adaptation to Optimize the Vertical Eye Opening in a Wireline Receiver D. Dunwell and A. Chan Carusone University of Toronto Analog Front End Adaptation Analog Front-End (AFE) Digital

More information

Explore your design space including IBIS AMI models with Advanced Channel Simulation

Explore your design space including IBIS AMI models with Advanced Channel Simulation Explore your design space including IBIS AMI models with Advanced Channel Simulation Heidi Barnes Vincent Poisson Presenter: May, 2013 Agenda How good is my PHY? Channel Simulation Options Spice (Circuit

More information

PCI Express 4.0. Electrical compliance test overview

PCI Express 4.0. Electrical compliance test overview PCI Express 4.0 Electrical compliance test overview Agenda PCI Express 4.0 electrical compliance test overview Required test equipment Test procedures: Q&A Transmitter Electrical testing Transmitter Link

More information

PCI Express 3.0 Characterization, Compliance, and Debug for Signal Integrity Engineers

PCI Express 3.0 Characterization, Compliance, and Debug for Signal Integrity Engineers PCI Express 3.0 Characterization, Compliance, and Debug for Signal Integrity Engineers - Transmitter Testing - Receiver Testing - Link Equalization Testing David Li Product Marketing Manager High Speed

More information

An Innovative Simulation Workflow for Debugging High-Speed Digital Designs using Jitter Separation

An Innovative Simulation Workflow for Debugging High-Speed Digital Designs using Jitter Separation An Innovative Simulation Workflow for Debugging High-Speed Digital Designs using Jitter Separation C. Chastang, A. Amédéo V. Poisson, P. Grison, F. Demuynck C. Gautier, F. Costa Thales Communications &

More information

Agilent Technologies Advanced Signal Integrity

Agilent Technologies Advanced Signal Integrity Agilent Technologies Advanced Signal Integrity Measurements for Next Generation High Speed Serial Standards Last Update 2012/04/24 (YS) Appendix VNA or TDR Scope? ENA Option TDR Overview USB 3.0 Cable/Connector

More information

PCI Express Link Equalization Testing 서동현

PCI Express Link Equalization Testing 서동현 PCI Express Link Equalization 서동현 Application Engineer January 19th, 2016 Agenda Introduction Page 2 Dynamic Link Equalization TX/RX Link Equalization Tests Test Automation RX Stress Signal Calibration

More information

Optical SerDes Test Interface for High-Speed and Parallel Testing

Optical SerDes Test Interface for High-Speed and Parallel Testing June 7-10, 2009 San Diego, CA SerDes Test Interface for High-Speed and Parallel Testing Sanghoon Lee, Ph. D Sejang Oh, Kyeongseon Shin, Wuisoo Lee Memory Division, SAMSUNG ELECTRONICS Why Interface? High

More information

Virtex-6 FPGA GTX Transceiver Characterization Report

Virtex-6 FPGA GTX Transceiver Characterization Report Virtex-6 FPGA GTX Transceiver Characterization Report PCI Express 2.0 (2.5 and 5.0 Gb/s) Electrical Standard Xilinx is disclosing this user guide, manual, release note, and/or specification (the Documentation

More information

QPairs QTE/QSE-DP Multi-connector Stack Designs In PCI Express Applications 16 mm Connector Stack Height REVISION DATE: OCTOBER 13, 2004

QPairs QTE/QSE-DP Multi-connector Stack Designs In PCI Express Applications 16 mm Connector Stack Height REVISION DATE: OCTOBER 13, 2004 Application Note QPairs QTE/QSE-DP Multi-connector Stack Designs In PCI Express Applications 16 mm Connector Stack Height REVISION DATE: OCTOBER 13, 2004 Copyrights and Trademarks Copyright 2004 Samtec,

More information

SerDes Channel Simulation in FPGAs Using IBIS-AMI

SerDes Channel Simulation in FPGAs Using IBIS-AMI White Paper: Virtex-6 FPGA Family WP382 (v10) December 9, 2010 SerDes Channel Simulation in FPGAs Using IBIS-AMI By: Romi Mayder The IBIS Algorithmic Modeling Interface (IBIS-AMI) was developed to enable

More information

5 GT/s and 8 GT/s PCIe Compared

5 GT/s and 8 GT/s PCIe Compared 5 GT/s and 8 GT/s PCIe Compared Bent Hessen-Schmidt SyntheSys Research, Inc. Copyright 2008, PCI-SIG, All Rights Reserved 1 Disclaimer The material included in this presentation reflects current thinking

More information

Programmable CMOS LVDS Transmitter/Receiver

Programmable CMOS LVDS Transmitter/Receiver SPECIFICATION 1. FEATURES Technology TSMC 0.13um CMOS 3.3 V analog power supply 1.2 V digital power supply 1.2V CMOS input and output logic signals 8-step (3-bit) adjustable transmitter output current

More information

DisplayPort 1.4 Webinar

DisplayPort 1.4 Webinar DisplayPort 1.4 Webinar Test Challenges and Solution Yogesh Pai Product Manager - Tektronix 1 Agenda DisplayPort Basics Transmitter Testing Challenges DisplayPort Type-C Updates Receiver Testing Q and

More information

Building Interfaces with Arria 10 High-Speed Transceivers

Building Interfaces with Arria 10 High-Speed Transceivers Building Interfaces with Arria 10 High-Speed Transceivers Course Description In this course, you will learn how you can build high-speed, gigabit interfaces using the 20- nm embedded transceivers found

More information

PCI Express Electrical Basics

PCI Express Electrical Basics PCI Express Electrical Basics Dean Gonzales Advanced Micro Devices Copyright 2015, PCI-SIG, All Rights Reserved 1 Topics PCI Express Overview Enhancements for 8GT/s Target Channels for the Specification

More information

Q Pairs QTE/QSE-DP Final Inch Designs In PCI Express Applications 16 mm Stack Height

Q Pairs QTE/QSE-DP Final Inch Designs In PCI Express Applications 16 mm Stack Height Application Note Q Pairs QTE/QSE-DP Final Inch Designs In PCI Express Applications 16 mm Stack Height Copyrights and Trademarks Copyright 2004 Samtec, Inc. Developed in conjunction with Teraspeed Consulting

More information

Quad Small Form factor Pluggable Plus (QSFP/QSFP+)

Quad Small Form factor Pluggable Plus (QSFP/QSFP+) Quad Small Form-factor Pluggable Plus (QSFP/QSFP+) Product Description Lightwave Link Inc. Quad Small Form-factor Pluggable (QSFP) product is a new high speed pluggable I/O interface products. This interconnecting

More information

A Modular Platform for Accurate Multi- Gigabit Serial Channel Validation

A Modular Platform for Accurate Multi- Gigabit Serial Channel Validation A Modular Platform for Accurate Multi- Gigabit Serial Channel Validation Presenter: Andrew Byers Ansoft Corporation High Performance Electronics: Technical Challenges Faster data rates in increasingly

More information

Agilent N5393C PCI Express Electrical Performance and Compliance Software Release Notes

Agilent N5393C PCI Express Electrical Performance and Compliance Software Release Notes Agilent N5393C PCI Express Electrical Performance and Compliance Software Release Notes Agilent N5393C Software Version 03.34 Released Date: 19 May 2014 File Name: SetupInfPCIExpress0334.exe Improved algorithm

More information

10GBASE-KR for 40G Backplane

10GBASE-KR for 40G Backplane 1GBASE-KR for 4G Backplane Nov 7 Technology Hiroshi Takatori Hiroshi.Takatori@.us 1 Outline This contribution discusses, - Performance based on 1GBASE-KR Std - Theoretical Limit (Saltz SNR) and Time Domain

More information

Type-C Technologies and Solutions

Type-C Technologies and Solutions Type-C Technologies and Solutions 2016.12.20 Gary Hsiao Project Manager Gary_Hsiao@keysight.com Agenda Type-C Overview Type-C PD Solutions USB 3.1 Simulation Solutions USB 3.1 TX/RX Solutions USB 3.1 Cable/Connector

More information

Virtex-5 FPGA RocketIO GTX Transceiver Characterization Report

Virtex-5 FPGA RocketIO GTX Transceiver Characterization Report Virtex-5 FPGA RocketIO GTX Transceiver Characterization Report PCI Express 2.0 (5.0 Gb/s) Electrical Gb/s) Standard Electrical Standard [optional] [optional] Xilinx is disclosing this user guide, manual,

More information

RiseUp RU8-DP-DV Series 19mm Stack Height Final Inch Designs in PCI Express Applications. Revision Date: March 18, 2005

RiseUp RU8-DP-DV Series 19mm Stack Height Final Inch Designs in PCI Express Applications. Revision Date: March 18, 2005 RiseUp RU8-DP-DV Series 19mm Stack Height Final Inch Designs in PCI Express Applications Revision Date: March 18, 2005 Copyrights and Trademarks Copyright 2005 Samtec, Inc. Developed in conjunction with

More information

Serial ATA Gen2 Jitter Tolerance Testing

Serial ATA Gen2 Jitter Tolerance Testing Serial ATA Gen2 Jitter Tolerance Testing Abstract Guy Foster SyntheSys Research, Inc. February 21, 2006 SR-TN054 Serial ATA [i] is an increasingly common serial bus technology aimed at disk drive applications.

More information

Automated AMI Model Generation & Validation

Automated AMI Model Generation & Validation Automated AMI Model Generation & Validation José Luis Pino Amolak Badesha Agilent EEsof EDA Manuel Luschas Antonis Orphanou Halil Civit Asian IBIS Summit, Shenzhen China November 9, 2010 (Presented previously

More information

Agenda TDR Measurements Using Real World Products

Agenda TDR Measurements Using Real World Products Agenda TDR Measurements Using Real World Products The Case for using both TDR and S-parameters Device Package Analysis - Measure Impedance -C-self Characterizing Device Evaluation Test board Measure Differential

More information

The Future of Electrical I/O for Microprocessors. Frank O Mahony Intel Labs, Hillsboro, OR USA

The Future of Electrical I/O for Microprocessors. Frank O Mahony Intel Labs, Hillsboro, OR USA The Future of Electrical I/O for Microprocessors Frank O Mahony frank.omahony@intel.com Intel Labs, Hillsboro, OR USA 1 Outline 1TByte/s I/O: motivation and challenges Circuit Directions Channel Directions

More information

MDI for 4x25G Copper and Fiber Optic IO. Quadra (CFP4 proposal) Connector System

MDI for 4x25G Copper and Fiber Optic IO. Quadra (CFP4 proposal) Connector System MDI for 4x25G Copper and Fiber Optic IO Quadra (CFP4 proposal) Connector System Nov 7, 2011 Nathan Tracy, TE Connectivity Tom Palkert, Molex 4x25Gb/s MDI Potential Requirements Critical Needs: Excellent

More information

100Gbps/Lane Electrical Signaling. Loop-Timed Configurations. Ramin Farjadrad SVP of R&D

100Gbps/Lane Electrical Signaling. Loop-Timed Configurations. Ramin Farjadrad SVP of R&D 100Gbps/Lane Electrical Signaling Loop-Timed Configurations Ramin Farjadrad SVP of R&D Dual Duplex Definition Single Duplex (SD): Tx Rx Transmit data Receive data Rx Tx Dual Duplex (DD): Transmit/Receive

More information

Section I. Stratix II GX Device Data Sheet

Section I. Stratix II GX Device Data Sheet Section I. Stratix II GX Device Data Sheet This section provides designers with the data sheet specifications for Stratix II GX devices. They contain feature definitions of the transceivers, internal architecture,

More information

Field Programmable Gate Array (FPGA) Devices

Field Programmable Gate Array (FPGA) Devices Field Programmable Gate Array (FPGA) Devices 1 Contents Altera FPGAs and CPLDs CPLDs FPGAs with embedded processors ACEX FPGAs Cyclone I,II FPGAs APEX FPGAs Stratix FPGAs Stratix II,III FPGAs Xilinx FPGAs

More information

PI2EQX6874ZFE 4-lane SAS/SATA ReDriver Application Information

PI2EQX6874ZFE 4-lane SAS/SATA ReDriver Application Information Contents General Introduction How to use pin strap and I2C control External Components Requirement Layout Design Guide Power Supply Bypassing Power Supply Sequencing Equalization Setting Output Swing Setting

More information

A HT3 Platform for Rapid Prototyping and High Performance Reconfigurable Computing

A HT3 Platform for Rapid Prototyping and High Performance Reconfigurable Computing A HT3 Platform for Rapid Prototyping and High Performance Reconfigurable Computing Second International Workshop on HyperTransport Research and Application (WHTRA 2011) University of Heidelberg Computer

More information

Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs

Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs White Paper Introduction Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs Signal integrity has become a critical issue in the design of high-speed systems. Poor signal integrity can mean

More information

W5100 Layout Guide version 1.0

W5100 Layout Guide version 1.0 version 1.0 2009 WIZnet Co., Inc. All Rights Reserved. For more information, visit our website at http://www.wiznet.co.kr Copyright 2009 WIZnet Co., Inc. All rights reserved. Table of Contents 1 Goal...

More information

IEEE 802.3ap Backplane Ethernet Overview

IEEE 802.3ap Backplane Ethernet Overview IEEE 802.3ap Backplane Ethernet Overview T10 SAS Adhoc Meeting Houston May 25 26, 2005 Ali Ghiasi aghiasi@broadcom.com 1 History of Backplane Ethernet Ethernet previously did not standardize backplane

More information

Signal Integrity for High Speed Digital Design Introduction

Signal Integrity for High Speed Digital Design Introduction Signal Integrity for High Speed Digital Design Introduction Gustaaf Sutorius Application Engineer Agenda Signal Integrity for High Speed Digital Design : introduction 1. Introduction Agilent 2. Typical

More information

GPP SRC 10Gbps SFP+ Optical Transceiver, 300m Reach

GPP SRC 10Gbps SFP+ Optical Transceiver, 300m Reach Features GPP-85192-SRC 10Gbps SFP+ Optical Transceiver, 300m Reach Optical interface compliant to IEEE 802.3ae 10GBASE-SR Electrical interface compliant to SFF-8431 Hot Pluggable 850nm VCSEL transmitter,

More information

Type-C application using PI3USB30532 and PI3USB31532 By Paul Li. Table of Content

Type-C application using PI3USB30532 and PI3USB31532 By Paul Li. Table of Content Type-C application using PI3USB3053 and PI3USB353 By Paul Li Table of Content.0 Introduction.0 Why passive MUX (PI3USB3X53) is better than active MUX in notebook design? 3.0 PI3USB3X53 in source-host:

More information

Q2 QMS/QFS 16mm Stack Height Final Inch Designs In PCI Express Applications Generation Gbps. Revision Date: February 13, 2009

Q2 QMS/QFS 16mm Stack Height Final Inch Designs In PCI Express Applications Generation Gbps. Revision Date: February 13, 2009 Q2 QMS/QFS 16mm Stack Height Final Inch Designs In PCI Express Applications Generation 2 5.0 Gbps Revision Date: February 13, 2009 Copyrights and Trademarks Copyright 2009 Samtec, Inc. Developed in conjunction

More information

AOZ8809ADI. Ultra-Low Capacitance TVS Diode. Features. General Description. Applications. Typical Applications

AOZ8809ADI. Ultra-Low Capacitance TVS Diode. Features. General Description. Applications. Typical Applications Ultra-Low Capacitance TVS Diode General Description The AOZ889ADI is a transient voltage suppressor array designed to protect high speed data lines such as HDMI 1.4/2., USB 3./3.1, MDDI, SATA, and Gigabit

More information

AOZ8804A. Ultra-Low Capacitance TVS Diode. Features. General Description. Applications. Typical Applications

AOZ8804A. Ultra-Low Capacitance TVS Diode. Features. General Description. Applications. Typical Applications Ultra-Low Capacitance TS Diode General Description The is a transient voltage suppressor array designed to protect high speed data lines such as HDMI, USB 3.0, MDDI, SATA, and Gigabit thernet from damaging

More information

INSTITUTO DE PLASMAS E FUSÃO NUCLEAR

INSTITUTO DE PLASMAS E FUSÃO NUCLEAR ATCA-PTSW-AMC4 Technical Manual INSTITUTO DE PLASMAS E FUSÃO NUCLEAR October 29, 2013 Authored by: M. Correia ATCA-PTSW-AMC4 Technical Manual Document Configuration COMPANY AUTHORS IPFN/IST- instituto

More information

Maximising Serial Bandwidth And Signal Integrity In FPGAs

Maximising Serial Bandwidth And Signal Integrity In FPGAs Maximising Serial Bandwidth And Signal Integrity In FPGAs Francesco Contu High Speed IO specialist, EMEA South francescocontu@xilinxcom Agilent High Speed Digital Design & Validation Seminars 2012 Agenda

More information

Raj Kumar Nagpal, R&D Manager Synopsys. Enabling Higher Data Rates and Variety of Channels with MIPI D-PHY

Raj Kumar Nagpal, R&D Manager Synopsys. Enabling Higher Data Rates and Variety of Channels with MIPI D-PHY Raj Kumar Nagpal, R&D Manager Enabling Higher Data Rates and Variety of Channels with MIPI D-PHY Agenda Design motivation MIPI D-PHY evolution Summary of MIPI D-PHY specification MIPI channel evolution

More information

Proposal for SAS 2.x Specification to Enable Support for Active Cables

Proposal for SAS 2.x Specification to Enable Support for Active Cables 08-052r2 Proposal for SAS 2.x Specification to Enable Support for Active Cables Gourgen Oganessyan QUELLAN March 7, 2008 Introduction Inclusion of active cable interconnect option into the SAS specification

More information

Acquisition system for the CLIC Module.

Acquisition system for the CLIC Module. Acquisition system for the CLIC Module. S.Vilalte on behalf the LAPP CLIC group. 1 1 LAPP CLIC group Annecy France The status of R&D activities for CLIC module acquisition are discussed [1]. LAPP is involved

More information

Design Guidelines for Intel FPGA DisplayPort Interface

Design Guidelines for Intel FPGA DisplayPort Interface 2018-01-22 Design Guidelines for Intel FPGA DisplayPort Interface AN-745 Subscribe The design guidelines help you implement the Intel FPGA DisplayPort IP core using Intel FPGA devices. These guidelines

More information

White Paper Compromises of Using a 10-Gbps Transceiver at Other Data Rates

White Paper Compromises of Using a 10-Gbps Transceiver at Other Data Rates White Paper Compromises of Using a 10-Gbps Transceiver at Other Data Rates Introduction Many applications and designs are adopting clock data recovery-based (CDR) transceivers for interconnect data transfer.

More information

Optical Loss Budgets

Optical Loss Budgets CHAPTER 4 The optical loss budget is an important aspect in designing networks with the Cisco ONS 15540. The optical loss budget is the ultimate limiting factor in distances between nodes in a topology.

More information

AMI Applications in High-speed Serial Channel Analysis and Measurement Correlation

AMI Applications in High-speed Serial Channel Analysis and Measurement Correlation AMI Applications in High-speed Serial Channel Analysis and Measurement Correlation Jia Wei, Sunanbing, Zhu ShunLin Jia.wei@zte.com.cn, sun.anbing@zte.com.cn, Zhu.shunlin@zte.com.cn High-Speed System Lab,ZTE

More information

Transmitter testing for MMF PMDs

Transmitter testing for MMF PMDs Transmitter testing for MMF PMDs IEEE P802.3cd, San Diego, July 2016 Jonathan Ingham Foxconn Interconnect Technology Supporters Will Bliss (Broadcom) Ali Ghiasi (Ghiasi Quantum) Vasu Parthasarathy (Broadcom)

More information

AN 608: HST Jitter and BER Estimator Tool for Stratix IV GX and GT Devices

AN 608: HST Jitter and BER Estimator Tool for Stratix IV GX and GT Devices AN 608: HST Jitter and BER Estimator Tool or Stratix IV GX and GT Devices July 2010 AN-608-1.0 The high-speed communication link design toolkit (HST) jitter and bit error rate (BER) estimator tool is a

More information

Leveraging IBIS Capabilities for Multi-Gigabit Interfaces. Ken Willis - Cadence Design Systems Asian IBIS Summit, Shanghai, PRC November 13, 2017

Leveraging IBIS Capabilities for Multi-Gigabit Interfaces. Ken Willis - Cadence Design Systems Asian IBIS Summit, Shanghai, PRC November 13, 2017 Leveraging IBIS Capabilities for Multi-Gigabit Interfaces Ken Willis - Cadence Design Systems Asian IBIS Summit, Shanghai, PRC November 13, 2017 Overview In writing EDI CON paper Signal Integrity Methodology

More information

Implementing Bus LVDS Interface in Cyclone III, Stratix III, and Stratix IV Devices

Implementing Bus LVDS Interface in Cyclone III, Stratix III, and Stratix IV Devices Implementing Bus LVDS Interface in Cyclone III, Stratix III, and Stratix IV Devices November 2008, ver. 1.1 Introduction LVDS is becoming the most popular differential I/O standard for high-speed transmission

More information

I N T E R C O N N E C T A P P L I C A T I O N N O T E. STRADA Whisper 4.5mm Connector Enhanced Backplane and Daughtercard Footprint Routing Guide

I N T E R C O N N E C T A P P L I C A T I O N N O T E. STRADA Whisper 4.5mm Connector Enhanced Backplane and Daughtercard Footprint Routing Guide I N T E R C O N N E C T A P P L I C A T I O N N O T E STRADA Whisper 4.5mm Connector Enhanced Backplane and Daughtercard Footprint Routing Guide Report # 32GC001 01/26/2015 Rev 3.0 STRADA Whisper Connector

More information

100GbE Architecture - Getting There... Joel Goergen Chief Scientist

100GbE Architecture - Getting There... Joel Goergen Chief Scientist 100GbE Architecture - Getting There... Joel Goergen Chief Scientist April 26, 2005 100GbE Architecture - Getting There Joel Goergen Force10 Networks joel@force10networks.com Subject : 100GbE Architecture

More information

Using IBIS-AMI in the Modeling of Advanced SerDes Equalization for Serial Link Simulation

Using IBIS-AMI in the Modeling of Advanced SerDes Equalization for Serial Link Simulation Using IBIS-AMI in the Modeling of Advanced SerDes Equalization for Serial Link Simulation CDNLive Boston August 2013 Mark Marlett and Mahesh Tirupattur, Analog Bits Ken Willis and Kumar Keshavan, Cadence

More information

Application Note. PCIE-EM Series Final Inch Designs in PCI Express Applications Generation GT/s

Application Note. PCIE-EM Series Final Inch Designs in PCI Express Applications Generation GT/s PCIE-EM Series Final Inch Designs in PCI Express Applications Generation 3-8.0 GT/s Copyrights and Trademarks Copyright 2015, Inc. COPYRIGHTS, TRADEMARKS, and PATENTS Final Inch is a trademark of, Inc.

More information

OPTOSTAR's OP-MDCX8xxL1SD-40 10G Cooled EML XFP DWDM transceivers are designed for 10G

OPTOSTAR's OP-MDCX8xxL1SD-40 10G Cooled EML XFP DWDM transceivers are designed for 10G 10Gbps 40km XFP DWDM Optical Transceiver Product Features Duplex LC Connector Support hot-pluggable Metal with lower EMI Excellent ESD protection XFP MSA compliant RoHS Compliant and Lead-Free Compliant

More information

DensiShield Cable Assembly. InfiniBand Standard CX4 Standard

DensiShield Cable Assembly. InfiniBand Standard CX4 Standard DensiShield Cable Assembly InfiniBand Standard CX4 Standard SI-2008-06-001 Revision 1 August-21-2008 Introduction The purpose of these tests was to show compliance of FCI s 26 AWG DensiShield cable assemblies

More information

Successfully negotiating the PCI EXPRESS 2.0 Super Highway Towards Full Compliance

Successfully negotiating the PCI EXPRESS 2.0 Super Highway Towards Full Compliance the PCI EXPRESS 2.0 Super Highway Towards Full Compliance Page 1 Agenda Introduction PCIe 2.0 changes from 1.0a/1.1 Spec 5GT/s Challenges Error Correction Techniques Test tool and fixture changes Agilent

More information

Prototyping NGC. First Light. PICNIC Array Image of ESO Messenger Front Page

Prototyping NGC. First Light. PICNIC Array Image of ESO Messenger Front Page Prototyping NGC First Light PICNIC Array Image of ESO Messenger Front Page Introduction and Key Points Constructed is a modular system with : A Back-End as 64 Bit PCI Master/Slave Interface A basic Front-end

More information

PSEC-4: Review of Architecture, etc. Eric Oberla 27-oct-2012

PSEC-4: Review of Architecture, etc. Eric Oberla 27-oct-2012 PSEC-4: Review of Architecture, etc. Eric Oberla 27-oct-2012 PSEC-4 ASIC: design specs LAPPD Collaboration Designed to sample & digitize fast pulses (MCPs): Sampling rate capability > 10GSa/s Analog bandwidth

More information

Digital Pre-emphasis Processor BERTScope DPP Series Datasheet

Digital Pre-emphasis Processor BERTScope DPP Series Datasheet Digital Pre-emphasis Processor BERTScope DPP Series Datasheet New microcontroller to provide more processing power RS-232 interface enhancement to speed up PCIe receiver equalization link training BERTScope

More information

T A S A 1 E H

T A S A 1 E H Specification Small Form Factor Pluggable Duplex LC Receptacle SFP28 Optical Transceivers Module 25GBASE-SR, CT Ordering Information T A S A 1 E H 1 8 3 4 Model Name Voltage Category Device type Interface

More information

SHF Communication Technologies AG

SHF Communication Technologies AG SHF Communication Technologies AG Wilhelm-von-Siemens-Str. 23 Aufgang D 12277 Berlin Marienfelde Germany Phone ++49 30 / 772 05 10 Fax ++49 30 / 753 10 78 E-Mail: sales@shf.biz Web: http://www.shf.biz

More information

PI2EQX6804-ANJE Four-lane SAS/SATA ReDriver Application Information May 13, 2011

PI2EQX6804-ANJE Four-lane SAS/SATA ReDriver Application Information May 13, 2011 Contents General Introduction How to use pin strap and I2C control External Components Requirement Layout Design Guide Power Supply Bypassing Power Supply Sequencing Equalization Setting Output Swing Setting

More information

Economic Feasibility of 400G Ethernet MAC/PCS/FEC/PMA

Economic Feasibility of 400G Ethernet MAC/PCS/FEC/PMA Economic Feasibility of 400G Ethernet MAC/PCS/FEC/PMA Mike Peng Li, Gregg Baeckler, Martin Langhammer, Divya Vijayaraghavan, Paul Scheidt, Curt Wortman Altera Corporation For IEEE 400G SG Jan, 2014 1 Context

More information

Intel Stratix 10 Transceiver Usage

Intel Stratix 10 Transceiver Usage Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Transceiver Layout... 3 1.1 L-Tile and H-Tile Overview...4 1.1.1 PLLs...4

More information

Virtex-6 FPGA GTX Transceiver OTU1 Electrical Interface

Virtex-6 FPGA GTX Transceiver OTU1 Electrical Interface Virtex-6 FPGA GTX Transceiver OTU1 Electrical Interface Characterization Report Xilinx is disclosing this user guide, manual, release note, and/or specification (the "Documentation") to you solely for

More information

Sequence Estimators with Block Termination in the presence of ISI

Sequence Estimators with Block Termination in the presence of ISI Hardware implementation i of Sequence Estimators with Block Termination in the presence of ISI Presentation to IEEE 802.3bj Arash Farhood Cortina Systems Joel Goergen Cisco Elizabeth Kochuparambil - Cisco

More information

81.X111GSR1131S-TP 10Gb/s 850nm Multimode XFP Optical Transceiver

81.X111GSR1131S-TP 10Gb/s 850nm Multimode XFP Optical Transceiver 81.X111GSR1131S-TP 10Gb/s 850nm Multimode XFP Optical Transceiver PRODUCT FEATURES Hot-pluggable XFP footprint Supports 9.95Gb/s to 11.3Gb/s bit rates XFI Loopback Mode Power dissipation

More information

High performance HBM Known Good Stack Testing

High performance HBM Known Good Stack Testing High performance HBM Known Good Stack Testing FormFactor Teradyne Overview High Bandwidth Memory (HBM) Market and Technology Probing challenges Probe solution Power distribution challenges PDN design Simulation

More information

Features and Benefits. Certifications

Features and Benefits. Certifications MPC-2240 Series 24-inch ECDIS color calibrated, fanless panel computers Features and Benefits 24-inch panel computer Color calibrated for ECDIS compliance Intel Core processor: i7 3517UE 1.7 GHz or Celeron

More information

Digital Pre-emphasis Processor BERTScope DPP Series Datasheet

Digital Pre-emphasis Processor BERTScope DPP Series Datasheet Digital Pre-emphasis Processor BERTScope DPP Series Datasheet Overview The DPP125C is a nonlinear signal conditioner capable of adding controllable amounts of pre-emphasis to a signal. It takes in single-ended

More information

SB Gb/s 1-Channel Programmable BERT. Data Sheet

SB Gb/s 1-Channel Programmable BERT. Data Sheet SB1601 14.5 Gb/s 1-Channel Programmable BERT Data Sheet The BERT Re-imagined Complete single channel BERT system 14.5 Gb/s with excellent signal fidelity Plug & play error detection with built-in CDR Flexible,

More information

IBIS-AMI Model Simulations Over Six EDA Platforms

IBIS-AMI Model Simulations Over Six EDA Platforms IBIS-AMI Model Simulations Over Six EDA Platforms Romi Mayder, romi.mayder@xilinx.com Ivan Madrigal, ivan.madrigal@xilinx.com Brandon Jiao, brandon.jiao@xilinx.com Hongtao Zhang, hongtao.zhang@xilinx.com

More information

ADS USB 3.1 Compliance Test Bench

ADS USB 3.1 Compliance Test Bench ADS 2016.01 USB 3.1 Compliance Test Bench Notices Keysight Technologies, Inc. 1983-2016 1400 Fountaingrove Pkwy., Santa Rosa, CA 95403-1738, United States All rights reserved. No part of this documentation

More information

Features and Benefits. Certifications

Features and Benefits. Certifications MPC-2260 Series 26-inch ECDIS color calibrated, fanless panel computers Features and Benefits 26-inch panel computer Color calibrated for ECDIS compliance Third-generation Intel processor (Intel Core i7

More information

SB Gb/s Quad-Channel Programmable BERT. Data Sheet

SB Gb/s Quad-Channel Programmable BERT. Data Sheet SB1604 14.5 Gb/s Quad-Channel Programmable BERT Data Sheet The BERT Re-imagined Complete 4 channel BERT system 14.5 Gb/s with excellent signal fidelity Plug & play error detection with built-in CDR Flexible,

More information

Transceiver Architecture in Arria V Devices

Transceiver Architecture in Arria V Devices 1 AV53001 Subscribe Describes the Arria V transceiver architecture, channels, and transmitter and receiver channel datapaths. Altera 28-nm Arria V FPGAs provide integrated transceivers with the lowest

More information

Agilent Technologies S-Parameter and TDR Impedance Measurement Solution Summary

Agilent Technologies S-Parameter and TDR Impedance Measurement Solution Summary Agilent Technologies S-Parameter and TDR Impedance Measurement Solution Summary Built-in S-parameter testing Easy and accurate transmission channel/media characterization Transmission lines for high speed

More information

RClamp TM 0504M RailClamp Low Capacitance TVS Diode Array PRELIMINARY Features

RClamp TM 0504M RailClamp Low Capacitance TVS Diode Array PRELIMINARY Features Description RailClamps are surge rated diode arrays designed to protect high speed data interfaces. The RClamp series has been specifically designed to protect sensitive components which are connected

More information

Application Note. PCIE-RA Series Final Inch Designs in PCI Express Applications Generation GT/s

Application Note. PCIE-RA Series Final Inch Designs in PCI Express Applications Generation GT/s PCIE-RA Series Final Inch Designs in PCI Express Applications Generation 3-8.0 GT/s Copyrights and Trademarks Copyright 2012, Inc. COPYRIGHTS, TRADEMARKS, and PATENTS Final Inch is a trademark of, Inc.

More information

802.3cb PMD and Channel. Anthony Calbone 3/14/2016

802.3cb PMD and Channel. Anthony Calbone 3/14/2016 802.3cb PMD and Channel Anthony Calbone 3/14/2016 Overview The presentation introduces a single link segment for each 2.5 Gb/s and 5 Gb/s for 802.3cb This link segment is described for two different reference

More information

GT Micro D High Speed Characterization Report For Differential Data Applications. Micro-D High Speed Characterization Report

GT Micro D High Speed Characterization Report For Differential Data Applications. Micro-D High Speed Characterization Report GT-14-19 Micro D For Differential Data Applications GMR7580-9S1BXX PCB Mount MWDM2L-9P-XXX-XX Cable Mount Revision History Rev Date Approved Description A 4/10/2014 C. Parsons/D. Armani Initial Release

More information

ASIX USB-to-LAN Applications Layout Guide

ASIX USB-to-LAN Applications Layout Guide ASIX USB-to-LAN Applications Revision 1.0 Dec. 11th, 2007 1 Revision Date Description 1.0 2007/12/11 New release. ASIX USB-to-LAN Applications Revision History 2 Content 1. Introduction...4 2. 4-Layer

More information

Features and Benefits. Certifications

Features and Benefits. Certifications EDS-P308 Series 8-port unmanaged Ethernet switches with 4 IEEE 802.3af PoE ports Features and Benefits 4 IEEE 802.3af-compliant PoE and Ethernet combo ports Up to 15.4 W output at 48 VDC per PoE port Intelligent

More information

Features and Benefits. Certifications

Features and Benefits. Certifications EDS-P206A Series 6-port unmanaged Ethernet switches with 4 IEEE 802.3af/at PoE+ ports Features and Benefits IEEE 802.3af/at compliant PoE and Ethernet combo ports Up to 30 W output per PoE port 12/24/48

More information

InfiniBand FDR 56-Gbps QSFP+ Active Optical Cable PN: WST-QS56-AOC-Cxx

InfiniBand FDR 56-Gbps QSFP+ Active Optical Cable PN: WST-QS56-AOC-Cxx Data Sheet PN: General Description WaveSplitter s Quad Small Form-Factor Pluggable Plus (QSFP+) active optical cables (AOC) are highperformance active optical cable with bi-directional signal transmission

More information

10 Gigabit XGXS/XAUI PCS Core. 1 Introduction. Product Brief Version April 2005

10 Gigabit XGXS/XAUI PCS Core. 1 Introduction. Product Brief Version April 2005 1 Introduction Initially, network managers use 10 Gigabit Ethernet to provide high-speed, local backbone interconnection between large-capacity switches. 10 Gigabit Ethernet enables Internet Service Providers

More information

Agenda. Time Module Topics Covered. 9:30 11:00 Wireless Technologies that Enable the Connected Car

Agenda. Time Module Topics Covered. 9:30 11:00 Wireless Technologies that Enable the Connected Car Agenda Time Module Topics Covered 9:30 11:00 Wireless Technologies that Enable the 11:00 12:00 V2X: Car-to-X Communication (802.11 and LTE-V) Cellular, Wifi, Automotive Radar, BlueTooth, GNSS, NFC, WPC/TPS/RKE

More information

White Paper. ORSPI4 Field-Programmable System-on-a-Chip Solves Design Challenges for 10 Gbps Line Cards

White Paper. ORSPI4 Field-Programmable System-on-a-Chip Solves Design Challenges for 10 Gbps Line Cards White Paper ORSPI4 Field-Programmable System-on-a-Chip Solves Design Challenges for 10 Gbps Line Cards Sidhartha Mohanty and Fred Koons Lattice Semiconductor Corporation October 2003 Bringing the Best

More information

TClamp1202P. Low Capacitance TClamp Surge Protection for 12V Interfaces. PROTECTION PRODUCTS Description. Features. Mechanical Characteristics

TClamp1202P. Low Capacitance TClamp Surge Protection for 12V Interfaces. PROTECTION PRODUCTS Description. Features. Mechanical Characteristics TClamp122P Low Capacitance TClamp Surge Protection for 12V Interfaces PROTECTION PRODUCTS Description TClamp 122P provides dedicated surge and ESD protection for RS-485 and other 12V lines in industrial

More information

High Speed Digital Design With ADS 2012 and SystemVue 2012

High Speed Digital Design With ADS 2012 and SystemVue 2012 High Speed Digital Design With ADS 2012 and SystemVue 2012 Colin Warwick Product Manager for High- Speed Digital Design at Agilent EEsof EDA Proposed Agenda Help us to help you What is your present workflow

More information