Real-life low power verification pitfalls, and UPF 1801 for a CPF user

Size: px
Start display at page:

Download "Real-life low power verification pitfalls, and UPF 1801 for a CPF user"

Transcription

1 Real-life low power verification pitfalls, and UPF 1801 for a CPF user Paul Bailey STMicroelectronics UPD-DSMG R&D DVclub 1 st July 2013 Version 1.0 No part to be reproduced without permission from STMicroelectronics

2 Background 2 I work in STMicroelectronics Unified Platform Division Consumer silicon and software => set-top box, TV, home-gateway, infotainment, Leading low power silicon methodology and flow development across sites Based in Bristol, UK Includes multi-supply, multi-voltage (power islands) SoC design + verification Been taping-out large consumer SoCs with power islands 4+ years Were using CPF (Si2 s Common Power Format) Now moving to IEEE UPF 1801 This presentation: Collect and share some experience of low power verification pitfalls encountered Draws on real experiences of SoC teams in Bristol, Grenoble, Delhi, Bangalore. Share experience of moving CPF to UPF 1801

3 1. Multi-supply design and functional verification pitfalls

4 Life is easy 4 All seems so easy to verify When it s on - it s functional ON logic 0 or 1 When it s off - it s corrupt OFF logic X X When it s off - it s isolated (clamped) OFF ON logic X X logic Isolate to 0 or 1

5 Splendid isolation 5 To write Power Intent efficiently we specify isolation generically Isolate -from off_supply1 -to on_supply2 clamp_to 0 (pseudo code) Common problem (still often seen): General isolation clamp value specified is functionally incorrect for a few signals Ex: Active low reset signal gets isolated low (active) => whole island in reset! Less obvious on test control signals (ex: scan enable, clock divider bypass) Only functional simulation can find this type of issue Another flavour of the same trap On-die antifuses are modelled in verification with a file (array of values) Isolation clamps the fuse outputs to 0 => specific SoC features disabled Actually fuse outputs should have been latched on isolation, not clamped Not spotted if low power tests done with default fuse map file (all 0 s) => Will get unexpected loss of functionality on silicon

6 Splendid isolation 6 Particular problem sometimes seen in RTL with constants on IP inputs OFF 1 b1 ON IP Incorrect iso to 0 Isolation strategy clamps the constant to the wrong value => Failing RTL simulation (unexpected behaviour when switched domain is OFF) However, in implementation, the iso will NOT be present since constants are localized into their load domains => Implementation behaviour different from RTL simulation Solution was to run static check and fix power intent.

7 Initially confusing 7 Verilog initial blocks used in many places where behaviour is modelled By definition they play once at T=0 Simple example shown here Fine until we corrupt these objects during power shutdown clk and reset are corrupted to X Re-power the domain and the initial block does not replay clk and reset stay X until an assignment May freeze a behavioural model Can be quite hard to debug a failing test reg clk, reset; initial begin clk = 0; not_reset = 1; end Workaround was to deposit on regs after power up

8 Initially confusing 8 Take this example from an actual PLL model Problem: When power shutdown occurs the forever loop is still running => initial block (started T=0) is still playing (will never terminate) It s not possible to replay this initial block after re-power-up since it never completed! reg clk; initial begin clk = 0; forever begin #25.0: clk <= ~clk; end end This code is bad for power shutdown and has to be re-written.

9 Power corrupts absolutely 9 We faced many issues around corruption of VHDL objects of type integer Integers used in synthesizable, parameterizable, and behavioural code There is no defined X value for an integer Corruption strategies we tried: Corrupt all integers to random values (-2**31 < n < 2**31) Corrupt all integers to leftmost value (-2**31) Corrupt all integers to 0 Typical simulator fatals encountered: Arrays indexed by integer variable out of bounds 0 integer in denominator of divide Over/under-flow where integer added/subtracted before use Integer variable used in a wait statement gets negative value!

10 Power corrupts absolutely 10 Particular corner case seen on real SoC design VHDL conv_integer function from std_logic_signed package Converts std_logic_vector to integer When std_logic_vector is corrupted (all bits X ) => output integer is 0 Created problem when power domain shutdown occurred OFF behav code XXXXX 0 conv_integer N Divideby-N

11 May the force be with you 11 Simulation forces commonly used to workaround bad models or to setup debug scenario In simulator we are using, power-off corruption X over-rides a user force (we think correctly) Trouble is, at re-power-up forces don t come back Before we spotted this it caused significant wasted time debugging code which worked ok, but then failed after a power down

12 2. Coming to UPF 1801 (aka UPF 2.0) from CPF

13 Some reasons for the move 13 Drivers for change included: Only 1x EDA vendor toolset supporting beyond CPF 1.0.e New tool step in our implementation flow only works with UPF Lack of supported CPF2UPF translation tools 3 rd party IPs coming with complex UPF files needed for verification signoff Progressive convergence of CPF and UPF into IEEE 1801 standard First ST-UPD SoC project using UPF 1801 throughout is underway now This presentation draws on our experience so far

14 Not all UPF tools are created equal 14 UPF 1801 LRM defines a large set of syntax, with complex semantics Design by committee (more so than CPF) => rich feature set EDA tool vendors have chosen to support what they judge is needed by today s customers Consequence is each vendor/each tool supports different range of 1801 syntax First thing we did was do cross-vendor survey and construct a subset of UPF 1801 which could be understood by Simulators (we considered at least 2) Static MV signoff tools (we considered at least 4) Implementation tools (we considered at least 4) Result was what we call UPF 2.0 minus Minus what is not supported across the mainstream industry tools today Defined a table of UPF commands + allowed arguments, to be used by designers

15 The power of the State 15 Big decision was how to define a Power State Table (PST) Coming from CPF we were used to defining power modes create_nominal_condition name volt1 voltage.. create_power_mode -name top_volt1_sw_volt2 -domain_conditions {domain_top@volt1 domain_sw@volt2} Seems to map well to 1801 UPF add_power_state add_power_state domain_top.primary state volt1 {-supply_expr {(power ==. ground ==.)} }.. add_power_state domain_top -state top_volt1_sw_volt2 \ -logic_expr {{domain_top.primary == volt1 } \ && {domain_sw.primary == volt2}} And add_power_state is supported by mainstream simulators But, implementation tools today only understand UPF 1.0 style PST add_port_state vdd_top state {volt1.} state {off off}. create_pst pst_top supplies {vdd_top vdd_sw vss} add_pst_state top_volt1_sw_volt2 -pst pst_top -state {volt1 volt2 gnd}

16 The power of the State 16 So we would need UPF 1.0 add_port_state + add_pst_state (for implementation tools) AS WELL AS 1801 add_power_state But: LRM does not define a relationship between these 2 PSTs No defined way to verify that these PSTs describe the same thing Our decision today is to use only add_port_state and 1.0 style PST If you want to define a simstate such as CORRUPT_ON_ACTIVITY then it is not possible with 1.0 style PST alone

17 Abstract thought 17 One consequence of using add_port_state WE NEED SUPPLY PORTS!! With CPF we were used to working without supply ports in the HDL Defined power domain, domain states and controls Detailed supply nets and ports were treated as implementation detail This provided a level of abstraction which the Verification and SoC Arch teams liked Now with our UPF 2.0 minus we have to define supply nets and ports from the start, before any RTL verif can start Quite heavy work for RTL teams Needs to align with physical designers downstream (for net names)

18 What so hard about hard macros? 18 Macro cells with more than one supply pair (a.k.a. multi-rail macros) need more than just the primary supply of the domain connected Examples in our SoCs include PLLs, DACs, signal IO cells, PHYs, some memories In CPF 1.1 we used tcl macro models with power mapped from parent create_power_domain name PD_pll_ana create_power_domain name PD_dig. set_instance pll_0 -domain_mapping {{PD_1v8 PD_pll_ana} {PD_vddd PD_dig}} source./pll1ghz_macro.cpf With the current UPF tools, we connect to pg pins of Liberty views create_supply_net name vdd_pll_ana create_supply_net name vdd_dig. connect_supply_net -ports pll_0/vdd_1v8 vdd_pll_ana connect_supply_net ports pll_0/vddd vdd_dig

19 What so hard about hard macros? 19 Liberty is used because it has pg pins Note use of Liberty is not coming from the 1801 LRM However, Liberty doesn t model anything functional (does it?) Liberty has related_power_pin and can have power_down_function These tell a simulator how IO pins on the model behave during a power off But, HDL behavioural model should model the cell s complete function (incl power) Conclusion for safely modelling hard macros is to load both 1) Liberty view (with pg_pin and pg_type attributes) 2) HDL behavioural model without power pins

20 Summary CPF UPF 20 IEEE 1801 UPF much closer to CPF than UPF 1.0 was Tools not yet fully caught up on 1801 UPF standard Use UPF 1.0 style PST if possible to be consistent through flow Check which commands your toolchain actually supports before writing UPF Change in methodology define explicit supply nets at RTL stage Check your implementation team are happy with the net names Hard macros modelling method relying on Liberty + HDL views Check your.lib models have needed power info inside Check your HDL models match your.libs (your EDA tool vendor can advise here) Need to load Liberty files in your simulator (wasn t needed with CPF) There s more (but no time today )

21 Thank you

Challenges with Power Aware Simulation and Verification Methodologies

Challenges with Power Aware Simulation and Verification Methodologies Challenges with Power Aware Simulation and Verification Methodologies Divyeshkumar Vora Staff Design Engineer Accellera Systems Initiative 1 Agenda Introduction Power-aware (PA) simulation overview Integrated

More information

Low Power Emulation for Power Intensive Designs

Low Power Emulation for Power Intensive Designs Low Power Emulation for Power Intensive Designs Harpreet Kaur Mohit Jain Piyush Kumar Gupta Jitendra Aggarwal Accellera Systems Initiative 1 Agenda Introduction Power Verification - Simulation Power Verification

More information

Part I: Power Aware Static Verification From Power Intent to Microarchitectural Checks of Low-Power Designs

Part I: Power Aware Static Verification From Power Intent to Microarchitectural Checks of Low-Power Designs Part I: Power Aware Static Verification From Power Intent to Microarchitectural Checks of Low-Power Designs by Progyna Khondkar Mentor, A Siemens Business INTRODUCTION PA-Static verification, more popularly

More information

New Challenges in Verification of Mixed-Signal IP and SoC Design

New Challenges in Verification of Mixed-Signal IP and SoC Design New Challenges in Verification of Mixed-Signal IP and SoC Design Luke Lang Cadence Design Systems, Inc. 2655 Seely Ave. San Jose, CA 95134 1-408-576-3640 lukelang@cadence.com Christina Chu Cadence Design

More information

Power Aware Models: Overcoming barriers in Power Aware Simulation

Power Aware Models: Overcoming barriers in Power Aware Simulation Power Aware Models: Overcoming barriers in Power Aware Simulation Mohit Jain, STMicroelectronics (mohit-jain.crd@st.com) Amit Singh, STMicroelectronics (amit-ftm.singh@st.com) J.S.S.S.Bharath, STMicroelectronics

More information

Evolution of UPF: Getting Better All the Time

Evolution of UPF: Getting Better All the Time Evolution of UPF: Getting Better All the Time by Erich Marschner, Product Manager, Questa Power Aware Simulation, Mentor Graphics Power management is a critical aspect of chip design today. This is especially

More information

Power Aware Libraries: Standardization and Requirements for Questa Power Aware

Power Aware Libraries: Standardization and Requirements for Questa Power Aware Power Aware Libraries: Standardization and Requirements for Questa Power Aware by Progyna Khondkar, Mentor Graphics INTRODUCTION Multivoltage (MV) based power-ware (PA) design verification and implementation

More information

Refining Successive Refinement. Desinghu PS, Adnan Khan - ARM Ltd UK Erich Marschner, Gabriel Chidolue Mentor Graphics

Refining Successive Refinement. Desinghu PS, Adnan Khan - ARM Ltd UK Erich Marschner, Gabriel Chidolue Mentor Graphics Refining Successive Refinement Desinghu PS, Adnan Khan - ARM Ltd UK Erich Marschner, Gabriel Chidolue Mentor Graphics Agenda Successive refinement flow Overview Successive refinement Challenges in Complex

More information

Next-generation Power Aware CDC Verification What have we learned?

Next-generation Power Aware CDC Verification What have we learned? Next-generation Power Aware CDC Verification What have we learned? Kurt Takara, Mentor Graphics, kurt_takara@mentor.com Chris Kwok, Mentor Graphics, chris_kwok@mentor.com Naman Jain, Mentor Graphics, naman_jain@mentor.com

More information

Using UPF for Low Power Design and Verification

Using UPF for Low Power Design and Verification Using UPF for Low Power Design and Verification Tutorial #2: presented by members of the IEEE P1801 WG John Biggs Erich Marschner Sushma Honnavara-Prasad David Cheng Shreedhar Ramachandra Jon Worthington

More information

New Advancements in CPF 2.0 and the Path to Interoperability

New Advancements in CPF 2.0 and the Path to Interoperability New Advancements in CPF 2.0 and the Path to Interoperability Qi Wang Chair of Format Working Group Vice Chair of Low Power Coalition October 2011 Innovation Through Collaboration Agenda 2011 Overview CPF

More information

Is Power State Table Golden?

Is Power State Table Golden? Is Power State Table Golden? Harsha Vardhan #1, Ankush Bagotra #2, Neha Bajaj #3 # Synopsys India Pvt. Ltd Bangalore, India 1 dhv@synopsys.com 2 ankushb@synopsys.com 3 nehab@synopsys.com Abstract: Independent

More information

Stepping into UPF 2.1 world: Easy solution to complex Power Aware Verification. Amit Srivastava Madhur Bhargava

Stepping into UPF 2.1 world: Easy solution to complex Power Aware Verification. Amit Srivastava Madhur Bhargava Stepping into UPF 2.1 world: Easy solution to complex Power Aware Verification Amit Srivastava Madhur Bhargava Agenda Introduction Power Aware Verification Unified Power Format Evolution of UPF Why UPF

More information

Jianfeng Liu, Jaehan Jeon, Mi-Suk Hong, KyungTae Do, HyoSig Won, JungYun Choi, Kee Sup Kim System LSI Division Samsung Electronics Co., Ltd.

Jianfeng Liu, Jaehan Jeon, Mi-Suk Hong, KyungTae Do, HyoSig Won, JungYun Choi, Kee Sup Kim System LSI Division Samsung Electronics Co., Ltd. Jianfeng Liu, Jaehan Jeon, Mi-Suk Hong, KyungTae Do, HyoSig Won, JungYun Choi, Kee Sup Kim System LSI Division Samsung Electronics Co., Ltd. The evolution of smart phones and tablet has ever driven the

More information

Free Yourself from the Tyranny of Power State Tables with Incrementally Refinable UPF

Free Yourself from the Tyranny of Power State Tables with Incrementally Refinable UPF Free Yourself from the Tyranny of Power State Tables with Incrementally Refinable UPF Progyna Khondkar, Ping Yeung, Gabriel Chidolue, Joe Hupcey, Rick Koster and Madhur Bhargava Mentor Graphics Corporation.

More information

Failing to Fail: Achieving Success in Advanced Low Power Design using UPF

Failing to Fail: Achieving Success in Advanced Low Power Design using UPF Failing to Fail: Achieving Success in Advanced Low Power Design using UPF 1 Rick Koster, 2 John Redmond, and 3 Shreedhar Ramachandra 1 Mentor Graphics Corporation 2 Broadcom Corporation 3 Synopsys Inc.

More information

Two HDLs used today VHDL. Why VHDL? Introduction to Structured VLSI Design

Two HDLs used today VHDL. Why VHDL? Introduction to Structured VLSI Design Two HDLs used today Introduction to Structured VLSI Design VHDL I VHDL and Verilog Syntax and ``appearance'' of the two languages are very different Capabilities and scopes are quite similar Both are industrial

More information

UPF GENERIC REFERENCES: UNLEASHING THE FULL POTENTIAL

UPF GENERIC REFERENCES: UNLEASHING THE FULL POTENTIAL UPF GENERIC REFERENCES: UNLEASHING THE FULL POTENTIAL Durgesh Prasad, Mentor Graphics (durgesh_prasad@mentor.com) Jitesh Bansal, Mentor Graphics (jitesh_bansal@mentor.com) Abstract: Power Aware verification

More information

VHDL. VHDL History. Why VHDL? Introduction to Structured VLSI Design. Very High Speed Integrated Circuit (VHSIC) Hardware Description Language

VHDL. VHDL History. Why VHDL? Introduction to Structured VLSI Design. Very High Speed Integrated Circuit (VHSIC) Hardware Description Language VHDL Introduction to Structured VLSI Design VHDL I Very High Speed Integrated Circuit (VHSIC) Hardware Description Language Joachim Rodrigues A Technology Independent, Standard Hardware description Language

More information

Recommended Design Techniques for ECE241 Project Franjo Plavec Department of Electrical and Computer Engineering University of Toronto

Recommended Design Techniques for ECE241 Project Franjo Plavec Department of Electrical and Computer Engineering University of Toronto Recommed Design Techniques for ECE241 Project Franjo Plavec Department of Electrical and Computer Engineering University of Toronto DISCLAIMER: The information contained in this document does NOT contain

More information

Low-Power Verification Methodology using UPF Query functions and Bind checkers

Low-Power Verification Methodology using UPF Query functions and Bind checkers Low-Power Verification Methodology using UPF Query functions and Bind checkers Madhur Bhargava, Mentor Graphics, Noida, India (madhur_bhargava@mentor.com) Durgesh Prasad, Mentor Graphics, Noida, India

More information

Verifying a low power design

Verifying a low power design Verifying a low power design Asif Jafri Verilab Inc. Austin, USA www.verilab.com ABSTRACT User expectations of mobile devices drive an endless race for improvements in both performance and battery life.

More information

Complex Low Power Verification Challenges in NextGen SoCs : Taming the Beast!

Complex Low Power Verification Challenges in NextGen SoCs : Taming the Beast! Complex Low Power Verification Challenges in NextGen SoCs : Taming the Beast! Abhinav Nawal (Freescale) Gaurav Jain (Freescale) Joachim Geishauser (Freescale) Accellera Systems Initiative 1 SCOPE Introduction

More information

Dynamic Verification of Low Power Design Intent. Suleiman Abu Kharmeh and François Cerisier Test and Verification Solutions

Dynamic Verification of Low Power Design Intent. Suleiman Abu Kharmeh and François Cerisier Test and Verification Solutions Dynamic Verification of Low Power Design Intent Suleiman Abu Kharmeh and François Cerisier Test and Verification Solutions Introduction Customer driven project Verification of Subsystem which includes:

More information

RTL Coding General Concepts

RTL Coding General Concepts RTL Coding General Concepts Typical Digital System 2 Components of a Digital System Printed circuit board (PCB) Embedded d software microprocessor microcontroller digital signal processor (DSP) ASIC Programmable

More information

Choosing an Intellectual Property Core

Choosing an Intellectual Property Core Choosing an Intellectual Property Core MIPS Technologies, Inc. June 2002 One of the most important product development decisions facing SOC designers today is choosing an intellectual property (IP) core.

More information

MicroSurvey Users: How to Report a Bug

MicroSurvey Users: How to Report a Bug MicroSurvey Users: How to Report a Bug Step 1: Categorize the Issue If you encounter a problem, as a first step it is important to categorize the issue as either: A Product Knowledge or Training issue:

More information

Definitions. Key Objectives

Definitions. Key Objectives CHAPTER 2 Definitions Key Objectives & Types of models & & Black box versus white box Definition of a test Functional verification requires that several elements are in place. It relies on the ability

More information

Mixed Signal Verification Transistor to SoC

Mixed Signal Verification Transistor to SoC Mixed Signal Verification Transistor to SoC Martin Vlach Chief Technologist AMS July 2014 Agenda AMS Verification Landscape Verification vs. Design Issues in AMS Verification Modeling Summary 2 AMS VERIFICATION

More information

SystemC Synthesis Standard: Which Topics for Next Round? Frederic Doucet Qualcomm Atheros, Inc

SystemC Synthesis Standard: Which Topics for Next Round? Frederic Doucet Qualcomm Atheros, Inc SystemC Synthesis Standard: Which Topics for Next Round? Frederic Doucet Qualcomm Atheros, Inc 2/29/2016 Frederic Doucet, Qualcomm Atheros, Inc 2 What to Standardize Next Benefit of current standard: Provides

More information

Quality Assured SoC Design Using Crossfire. A Fractal whitepaper

Quality Assured SoC Design Using Crossfire. A Fractal whitepaper Quality Assured SoC Design Using Crossfire A Fractal whitepaper Introduction There is no industry where the need for early bug-detection is more paramount than in SoC design. Consequences like design-re-spins

More information

Reset and Initialization, the Good, the Bad and the Ugly

Reset and Initialization, the Good, the Bad and the Ugly Reset and Initialization, the, the and the Ugly Ping Yeung Design & Verification Technology Mentor Graphics, Fremont, U.S.A. Kaowen Liu Design Technology Division MediaTek Inc, San Jose, U.S.A. Abstract-

More information

PowerAware RTL Verification of USB 3.0 IPs by Gayathri SN and Badrinath Ramachandra, L&T Technology Services Limited

PowerAware RTL Verification of USB 3.0 IPs by Gayathri SN and Badrinath Ramachandra, L&T Technology Services Limited PowerAware RTL Verification of USB 3.0 IPs by Gayathri SN and Badrinath Ramachandra, L&T Technology Services Limited INTRODUCTION Power management is a major concern throughout the chip design flow from

More information

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Pierre Dautriche Jean-Paul Morin Advanced CMOS and analog. Embedded analog Embedded RF 0.5 um 0.18um 65nm 28nm FDSOI 0.25um 0.13um 45nm 1997

More information

High-Level Information Interface

High-Level Information Interface High-Level Information Interface Deliverable Report: SRC task 1875.001 - Jan 31, 2011 Task Title: Exploiting Synergy of Synthesis and Verification Task Leaders: Robert K. Brayton and Alan Mishchenko Univ.

More information

PA GLS: The Power Aware Gate-level Simulation

PA GLS: The Power Aware Gate-level Simulation PA GLS: The Power Aware Gate-level Simulation by Progyna Khondkar Mentor, A Siemens Business In post-synthesis, gate-level netlist (GL-netlist), power aware (PA) simulation, the fundamental focus is to

More information

Unleashing the Full Power of UPF Power States

Unleashing the Full Power of UPF Power States Unleashing the Full Power of UPF Power States Erich Marschner Mentor Graphics Corporation 3919 River Walk Ellicott City, MD 21042 USA erich_marschner@mentor.com John Biggs ARM Ltd. 110 Fulbourn Road Cambridge

More information

EE 5327 VLSI Design Laboratory Lab 8 (1 week) Formal Verification

EE 5327 VLSI Design Laboratory Lab 8 (1 week) Formal Verification EE 5327 VLSI Design Laboratory Lab 8 (1 week) Formal Verification PURPOSE: To use Formality and its formal techniques to prove or disprove the functional equivalence of two designs. Formality can be used

More information

EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis

EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis Jan 31, 2012 John Wawrzynek Spring 2012 EECS150 - Lec05-verilog_synth Page 1 Outline Quick review of essentials of state elements Finite State

More information

Is SystemVerilog Useful for FPGA Design & Verification?

Is SystemVerilog Useful for FPGA Design & Verification? Is Useful for FPGA Design & Verification? ( Burn and Learn versus Learn and Burn ) Stuart Sutherland Wizard Sutherland HDL, Inc. Training engineers to be HDL wizards www.sutherland-hdl.com 2of 20 About

More information

Undefined, Unspecified, Non-deterministic, and Implementation Defined Behavior in Verifiable Specs. Clifford Wolf Symbiotic EDA

Undefined, Unspecified, Non-deterministic, and Implementation Defined Behavior in Verifiable Specs. Clifford Wolf Symbiotic EDA Undefined, Unspecified, Non-deterministic, and Implementation Defined Behavior in Verifiable Specs Clifford Wolf Symbiotic EDA 8 th RISC-V Workshop Barcelona 7-10 May, 2018 Why and how to not specify something?

More information

Lecture 9. VHDL, part IV. Hierarchical and parameterized design. Section 1 HIERARCHICAL DESIGN

Lecture 9. VHDL, part IV. Hierarchical and parameterized design. Section 1 HIERARCHICAL DESIGN Lecture 9 VHDL, part IV Hierarchical and parameterized design Section 1 HIERARCHICAL DESIGN 2 1 Dealing with Large Digital System Design 1. Apply hierarchy to the design At the highest level use larger

More information

Fundamentals. Fundamentals. Fundamentals. We build up instructions from three types of materials

Fundamentals. Fundamentals. Fundamentals. We build up instructions from three types of materials Fundamentals We build up instructions from three types of materials Constants Expressions Fundamentals Constants are just that, they are values that don t change as our macros are executing Fundamentals

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

Synopsys Design Platform

Synopsys Design Platform Synopsys Design Platform Silicon Proven for FDSOI Swami Venkat, Senior Director, Marketing, Design Group September 26, 2017 2017 Synopsys, Inc. 1 Synopsys: Silicon to Software Software Application security

More information

EE 4755 Digital Design Using Hardware Description Languages

EE 4755 Digital Design Using Hardware Description Languages EE 4755 Digital Design Using Hardware Description Languages Basic Information URL: http://www.ece.lsu.edu/v Offered by: David M. Koppelman, Room 3316R P. F. Taylor Hall 578-5482. koppel@ece.lsu.edu, http://www.ece.lsu.edu/koppel/koppel.html

More information

Chapter 4: Control Structures I (Selection) Objectives. Objectives (cont d.) Control Structures. Control Structures (cont d.

Chapter 4: Control Structures I (Selection) Objectives. Objectives (cont d.) Control Structures. Control Structures (cont d. Chapter 4: Control Structures I (Selection) In this chapter, you will: Objectives Learn about control structures Examine relational and logical operators Explore how to form and evaluate logical (Boolean)

More information

EE 4755 Digital Design Using Hardware Description Languages

EE 4755 Digital Design Using Hardware Description Languages EE 4755 Digital Design Using Hardware Description Languages Basic Information URL: http://www.ece.lsu.edu/v Offered by: David M. Koppelman, Room 345 ERAD Building 578-5482. koppel@ece.lsu.edu, http://www.ece.lsu.edu/koppel/koppel.html

More information

Graphics: Alexandra Nolte, Gesine Marwedel, Universität Dortmund. RTL Synthesis

Graphics: Alexandra Nolte, Gesine Marwedel, Universität Dortmund. RTL Synthesis Graphics: Alexandra Nolte, Gesine Marwedel, 2003 Universität Dortmund RTL Synthesis Purpose of HDLs Purpose of Hardware Description Languages: Capture design in Register Transfer Language form i.e. All

More information

OSCI Update. Guido Arnout OSCI Chief Strategy Officer CoWare Chairman & Founder

OSCI Update. Guido Arnout OSCI Chief Strategy Officer CoWare Chairman & Founder OSCI Update Guido Arnout OSCI Chief Strategy Officer CoWare Chairman & Founder Chief Strategy Officer charter Ensure that OSCI strategy is created, coordinated, communicated & executed Identify OSCI technical

More information

Design Guidelines for Optimal Results in High-Density FPGAs

Design Guidelines for Optimal Results in High-Density FPGAs White Paper Introduction Design Guidelines for Optimal Results in High-Density FPGAs Today s FPGA applications are approaching the complexity and performance requirements of ASICs. In some cases, FPGAs

More information

Complex Low Power Verification Challenges in NextGen SoCs: Taming the Beast!

Complex Low Power Verification Challenges in NextGen SoCs: Taming the Beast! Complex Low Power Verification Challenges in NextGen SoCs: Taming the Beast! Abhinav Nawal, Freescale Semiconductors India Pvt Ltd, abhinav.nawal@freescale.com Gaurav Jain, Freescale Semiconductors India

More information

Introduction to Verilog HDL

Introduction to Verilog HDL Introduction to Verilog HDL Ben Abdallah Abderazek National University of Electro-communications, Tokyo, Graduate School of information Systems May 2004 04/09/08 1 What you will understand after having

More information

Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial

Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial 1 Table of Contents Design Flow in Libero TM IDE v2.3 Step 1 - Design Creation 3 Step 2 - Design Verification

More information

ECE 2300 Digital Logic & Computer Organization. More Sequential Logic Verilog

ECE 2300 Digital Logic & Computer Organization. More Sequential Logic Verilog ECE 2300 Digital Logic & Computer Organization Spring 2018 More Sequential Logic Verilog Lecture 7: 1 Announcements HW3 will be posted tonight Prelim 1 Thursday March 1, in class Coverage: Lectures 1~7

More information

ASIC world. Start Specification Design Verification Layout Validation Finish

ASIC world. Start Specification Design Verification Layout Validation Finish AMS Verification Agenda ASIC world ASIC Industrial Facts Why Verification? Verification Overview Functional Verification Formal Verification Analog Verification Mixed-Signal Verification DFT Verification

More information

Verilog for High Performance

Verilog for High Performance Verilog for High Performance Course Description This course provides all necessary theoretical and practical know-how to write synthesizable HDL code through Verilog standard language. The course goes

More information

Artifacts of Custom Checkers in Questa Power Aware Dynamic Simulation

Artifacts of Custom Checkers in Questa Power Aware Dynamic Simulation Artifacts of Custom Checkers in Questa Power Aware Dynamic Simulation by Progyna Khondkar, Mentor Graphics INTRODUCTION The Questa Power Aware (PA) dynamic simulator (PA-SIM) provides a wide range of automated

More information

VHDL for Synthesis. Course Description. Course Duration. Goals

VHDL for Synthesis. Course Description. Course Duration. Goals VHDL for Synthesis Course Description This course provides all necessary theoretical and practical know how to write an efficient synthesizable HDL code through VHDL standard language. The course goes

More information

CSE 591: Advanced Hardware Design and Verification (2012 Spring) LAB #0

CSE 591: Advanced Hardware Design and Verification (2012 Spring) LAB #0 Lab 0: Tutorial on Xilinx Project Navigator & ALDEC s Active-HDL Simulator CSE 591: Advanced Hardware Design and Verification Assigned: 01/05/2011 Due: 01/19/2011 Table of Contents 1 Overview... 2 1.1

More information

CMPE 415 Programmable Logic Devices Introduction

CMPE 415 Programmable Logic Devices Introduction Department of Computer Science and Electrical Engineering CMPE 415 Programmable Logic Devices Introduction Prof. Ryan Robucci What are FPGAs? Field programmable Gate Array Typically re programmable as

More information

CS 250 VLSI Design Lecture 11 Design Verification

CS 250 VLSI Design Lecture 11 Design Verification CS 250 VLSI Design Lecture 11 Design Verification 2012-9-27 John Wawrzynek Jonathan Bachrach Krste Asanović John Lazzaro TA: Rimas Avizienis www-inst.eecs.berkeley.edu/~cs250/ IBM Power 4 174 Million Transistors

More information

ASIC Design Flow. P.Radhakrishnan, Senior ASIC-Core Development Engineer, Toshiba, 1060, Rincon Circle, San Jose, CA (USA) Jan 2000 (Issue-3)

ASIC Design Flow. P.Radhakrishnan, Senior ASIC-Core Development Engineer, Toshiba, 1060, Rincon Circle, San Jose, CA (USA) Jan 2000 (Issue-3) By P.Radhakrishnan, Senior ASIC-Core Development Engineer, Toshiba, 1060, Rincon Circle, San Jose, CA 95132 (USA) Jan 2000 (Issue-3) Contents Introduction... 3 Application Specific Integrated Circuits

More information

1 ST SUMMER SCHOOL: VHDL BOOTCAMP PISA, JULY 2013

1 ST SUMMER SCHOOL: VHDL BOOTCAMP PISA, JULY 2013 MARIE CURIE IAPP: FAST TRACKER FOR HADRON COLLIDER EXPERIMENTS 1 ST SUMMER SCHOOL: VHDL BOOTCAMP PISA, JULY 2013 Introduction to VHDL Calliope-Louisa Sotiropoulou PhD Candidate/Researcher Aristotle University

More information

101-1 Under-Graduate Project Digital IC Design Flow

101-1 Under-Graduate Project Digital IC Design Flow 101-1 Under-Graduate Project Digital IC Design Flow Speaker: Ming-Chun Hsiao Adviser: Prof. An-Yeu Wu Date: 2012/9/25 ACCESS IC LAB Outline Introduction to Integrated Circuit IC Design Flow Verilog HDL

More information

RIZALAFANDE CHE ISMAIL TKT. 3, BLOK A, PPK MIKRO-e KOMPLEKS PENGAJIAN KUKUM. SYNTHESIS OF COMBINATIONAL LOGIC (Chapter 8)

RIZALAFANDE CHE ISMAIL TKT. 3, BLOK A, PPK MIKRO-e KOMPLEKS PENGAJIAN KUKUM. SYNTHESIS OF COMBINATIONAL LOGIC (Chapter 8) RIZALAFANDE CHE ISMAIL TKT. 3, BLOK A, PPK MIKRO-e KOMPLEKS PENGAJIAN KUKUM SYNTHESIS OF COMBINATIONAL LOGIC (Chapter 8) HDL-BASED SYNTHESIS Modern ASIC design use HDL together with synthesis tool to create

More information

Objectives. Chapter 4: Control Structures I (Selection) Objectives (cont d.) Control Structures. Control Structures (cont d.) Relational Operators

Objectives. Chapter 4: Control Structures I (Selection) Objectives (cont d.) Control Structures. Control Structures (cont d.) Relational Operators Objectives Chapter 4: Control Structures I (Selection) In this chapter, you will: Learn about control structures Examine relational and logical operators Explore how to form and evaluate logical (Boolean)

More information

VHDL Sample Slides Rev Sample Slides from the 2-day and 4-day VHDL Training Courses

VHDL Sample Slides Rev Sample Slides from the 2-day and 4-day VHDL Training Courses VHDL Sample Slides from the 2-day and 4-day VHDL Training Courses Rev. 4.7 VHDL 2011 TM Associates, Inc. 1-1 These sample slides are taken from the 4-day basic VHDL training course. They are from a variety

More information

FishTail: The Formal Generation, Verification and Management of Golden Timing Constraints

FishTail: The Formal Generation, Verification and Management of Golden Timing Constraints FishTail: The Formal Generation, Verification and Management of Golden Timing Constraints Chip design is not getting any easier. With increased gate counts, higher clock speeds, smaller chip sizes and

More information

Leakage Mitigation Techniques in Smartphone SoCs

Leakage Mitigation Techniques in Smartphone SoCs Leakage Mitigation Techniques in Smartphone SoCs 1 John Redmond 1 Broadcom International Symposium on Low Power Electronics and Design Smartphone Use Cases Power Device Convergence Diverse Use Cases Camera

More information

INTERNATIONAL STANDARD

INTERNATIONAL STANDARD INTERNATIONAL STANDARD Edition 1.0 2015-03 IEEE Std 1801-2013 Design and Verification of Low-Power Integrated Circuits :2015-03(en) THIS PUBLICATION IS COPYRIGHT PROTECTED Copyright 2013 IEEE All rights

More information

Device: LDP This document Version: 1.0. Date: July Description: 80x08 1R1G LED Display Panel

Device: LDP This document Version: 1.0. Date: July Description: 80x08 1R1G LED Display Panel Device: LDP-8008 This document Version: 1.0 Date: July 2010 Description: 80x08 1R1G LED Display Panel Table of Contents Introduction... 3 Nomenclature... 3 Connections... 3 Power... 3 Pinouts... 4 Controlling

More information

What Every Programmer Should Know About Floating-Point Arithmetic

What Every Programmer Should Know About Floating-Point Arithmetic What Every Programmer Should Know About Floating-Point Arithmetic Last updated: October 15, 2015 Contents 1 Why don t my numbers add up? 3 2 Basic Answers 3 2.1 Why don t my numbers, like 0.1 + 0.2 add

More information

Programming with HDLs

Programming with HDLs Programming with HDLs Paul Chow February 11, 2008 1 Introduction The purpose of this document is to encourage the proper approach or mindset for programming in a hardware description language (HDL), particularly

More information

Synthesis of Combinational and Sequential Circuits with Verilog

Synthesis of Combinational and Sequential Circuits with Verilog Synthesis of Combinational and Sequential Circuits with Verilog What is Verilog? Hardware description language: Are used to describe digital system in text form Used for modeling, simulation, design Two

More information

Introduction to SystemC

Introduction to SystemC Introduction to SystemC Damien Hubaux - CETIC Outline?? A language A C++ library February 12, 2004 SystemC, an alternative for system modeling and synthesis? 2 Why SystemC? Needs Increasing complexity,

More information

Rapid Software Testing Guide to Making Good Bug Reports

Rapid Software Testing Guide to Making Good Bug Reports Rapid Software Testing Guide to Making Good Bug Reports By James Bach, Satisfice, Inc. v.1.0 Bug reporting is a very important part of testing. The bug report, whether oral or written, is the single most

More information

Comprehensive Place-and-Route Platform Olympus-SoC

Comprehensive Place-and-Route Platform Olympus-SoC Comprehensive Place-and-Route Platform Olympus-SoC Digital IC Design D A T A S H E E T BENEFITS: Olympus-SoC is a comprehensive netlist-to-gdsii physical design implementation platform. Solving Advanced

More information

Low Power Methodology Manual For System-on-Chip Design

Low Power Methodology Manual For System-on-Chip Design Low Power Methodology Manual For System-on-Chip Design Michael Keating David Flynn Robert Aitken Alan Gibbons Kaijian Shi Low Power Methodology Manual For System-on-Chip Design Michael Keating Synopsys,

More information

EECS150 - Digital Design Lecture 7 - Computer Aided Design (CAD) - Part II (Logic Simulation) Finite State Machine Review

EECS150 - Digital Design Lecture 7 - Computer Aided Design (CAD) - Part II (Logic Simulation) Finite State Machine Review EECS150 - Digital Design Lecture 7 - Computer Aided Design (CAD) - Part II (Logic Simulation) Feb 9, 2010 John Wawrzynek Spring 2010 EECS150 - Lec7-CAD2 Page 1 Finite State Machine Review State Transition

More information

Figure RTL View of Absolute Model (Synplicity/Synplify Pro)

Figure RTL View of Absolute Model (Synplicity/Synplify Pro) Arithmetic Machines 329 Figure 6.1.1-14 RTL View of Absolute Model (Synplicity/Synplify Pro) The question often arises as to the whether the NUMERIC_STD or NUMERIC_UNSIGNED package should be used when

More information

Synthesizable Verilog

Synthesizable Verilog Synthesizable Verilog Courtesy of Dr. Edwards@Columbia, and Dr. Franzon@NCSU http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Design Methodology Structure and Function (Behavior) of a Design HDL

More information

CS211 Digital Systems/Lab. Introduction to VHDL. Hyotaek Shim, Computer Architecture Laboratory

CS211 Digital Systems/Lab. Introduction to VHDL. Hyotaek Shim, Computer Architecture Laboratory CS211 Digital Systems/Lab Introduction to VHDL Hyotaek Shim, Computer Architecture Laboratory Programmable Logic Device (PLD) 2/32 An electronic component used to build reconfigurable digital circuits

More information

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology DATASHEET VCS AMS Mixed-Signal Verification Solution Scalable mixedsignal regression testing with transistor-level accuracy Overview The complexity of mixed-signal system-on-chip (SoC) designs is rapidly

More information

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function.

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function. FPGA Logic block of an FPGA can be configured in such a way that it can provide functionality as simple as that of transistor or as complex as that of a microprocessor. It can used to implement different

More information

Leveraging Formal Verification Throughout the Entire Design Cycle

Leveraging Formal Verification Throughout the Entire Design Cycle Leveraging Formal Verification Throughout the Entire Design Cycle Verification Futures Page 1 2012, Jasper Design Automation Objectives for This Presentation Highlight several areas where formal verification

More information

1.7 Limit of a Function

1.7 Limit of a Function 1.7 Limit of a Function We will discuss the following in this section: 1. Limit Notation 2. Finding a it numerically 3. Right and Left Hand Limits 4. Infinite Limits Consider the following graph Notation:

More information

EECS150 - Digital Design Lecture 4 - Verilog Introduction. Outline

EECS150 - Digital Design Lecture 4 - Verilog Introduction. Outline EECS150 - Digital Design Lecture 4 - Verilog Introduction Feb 3, 2009 John Wawrzynek Spring 2009 EECS150 - Lec05-Verilog Page 1 Outline Background and History of Hardware Description Brief Introduction

More information

Sunburst Design - Comprehensive SystemVerilog Design & Synthesis by Recognized Verilog & SystemVerilog Guru, Cliff Cummings of Sunburst Design, Inc.

Sunburst Design - Comprehensive SystemVerilog Design & Synthesis by Recognized Verilog & SystemVerilog Guru, Cliff Cummings of Sunburst Design, Inc. World Class SystemVerilog & UVM Training Sunburst Design - Comprehensive SystemVerilog Design & Synthesis by Recognized Verilog & SystemVerilog Guru, Cliff Cummings of Sunburst Design, Inc. Cliff Cummings

More information

IJTAG Compatibility with Legacy Designs - No Hardware Changes

IJTAG Compatibility with Legacy Designs - No Hardware Changes IJTAG Compatibility with Legacy Designs - No Hardware Changes By: Al Crouch, Jim Johnson, Bill Atwell Overview By now you have heard the buzz in our industry about the new IJTAG standards (IEEE 1687 and

More information

Abstraction Layers for Hardware Design

Abstraction Layers for Hardware Design SYSTEMC Slide -1 - Abstraction Layers for Hardware Design TRANSACTION-LEVEL MODELS (TLM) TLMs have a common feature: they implement communication among processes via function calls! Slide -2 - Abstraction

More information

Introduction...2 Prerequisites...2 What is a Global Net?...2 Net Scope...2 Defining Global Nets...3 Establishing Connectivity...5 Propagation...

Introduction...2 Prerequisites...2 What is a Global Net?...2 Net Scope...2 Defining Global Nets...3 Establishing Connectivity...5 Propagation... AppNote 10770 A P P N O T E S SM Managing Global Nets in DxDesigner 2007 By: Robert Davies Last Modified: November 6, 2009 Table of contents Introduction...2 Prerequisites...2 What is a Global Net?...2

More information

Hardware Description Languages: Verilog. Quick History of HDLs. Verilog/VHDL. Design Methodology. Verilog Introduction. Verilog.

Hardware Description Languages: Verilog. Quick History of HDLs. Verilog/VHDL. Design Methodology. Verilog Introduction. Verilog. Hardware Description Languages: Verilog Quick History of HDLs Verilog Structural Models (Combinational) Behavioral Models Syntax Examples CS 150 - Fall 2005 - Lecture #4: Verilog - 1 ISP (circa 1977) -

More information

VHDL simulation and synthesis

VHDL simulation and synthesis VHDL simulation and synthesis How we treat VHDL in this course You will not become an expert in VHDL after taking this course The goal is that you should learn how VHDL can be used for simulation and synthesis

More information

Hardware Description Languages: Verilog

Hardware Description Languages: Verilog Hardware Description Languages: Verilog Verilog Structural Models (Combinational) Behavioral Models Syntax Examples CS 150 - Fall 2005 - Lecture #4: Verilog - 1 Quick History of HDLs ISP (circa 1977) -

More information

Lab 7 (Sections 300, 301 and 302) Prelab: Introduction to Verilog

Lab 7 (Sections 300, 301 and 302) Prelab: Introduction to Verilog Lab 7 (Sections 300, 301 and 302) Prelab: Introduction to Verilog Name: Sign the following statement: On my honor, as an Aggie, I have neither given nor received unauthorized aid on this academic work

More information

COMP12111 Fundamentals of Computer Engineering Paul Nutter Vasilis Pavlidis Comments

COMP12111 Fundamentals of Computer Engineering Paul Nutter Vasilis Pavlidis Comments Fundamentals of Computer Engineering Paul Nutter Vasilis Pavlidis Comments Please see the attached report. 12 February 2016 Page 2 of 7 Exam Feedback 2015/16 Q1 set by Paul Nutter Q2 set by Vasilis Pavlidis

More information

Speaker: Kayting Adviser: Prof. An-Yeu Wu Date: 2009/11/23

Speaker: Kayting Adviser: Prof. An-Yeu Wu Date: 2009/11/23 98-1 Under-Graduate Project Synthesis of Combinational Logic Speaker: Kayting Adviser: Prof. An-Yeu Wu Date: 2009/11/23 What is synthesis? Outline Behavior Description for Synthesis Write Efficient HDL

More information

INTRODUCTION. icegate

INTRODUCTION. icegate Using icegate in Ultra Low Power ice FPGA INTRODUCTION In today s handheld and portable applications market, power price and space form the crux of the competition, especially with FPGAs and CPLDs playing

More information

Lecture 2 Hardware Description Language (HDL): VHSIC HDL (VHDL)

Lecture 2 Hardware Description Language (HDL): VHSIC HDL (VHDL) Lecture 2 Hardware Description Language (HDL): VHSIC HDL (VHDL) Pinit Kumhom VLSI Laboratory Dept. of Electronic and Telecommunication Engineering (KMUTT) Faculty of Engineering King Mongkut s University

More information