IC Testing and Development in Semiconductor Area

Size: px
Start display at page:

Download "IC Testing and Development in Semiconductor Area"

Transcription

1 IC Testing and Development in Semiconductor Area Prepare by Lee Zhang, 2004

2 Outline 1. Electronic Industry Development 2. Semiconductor Industry Development

3 4Electronic Industry Development Electronic Industry in Worldwide and in China Wide Electronic product revenue in worldwide is $1.5 trillion (US dollar) at year of Electronic product revenue in China wide is $160 billion (US dollar) at year of 2003, which is about 11% of world revenue. Electronic product revenue in China wide is $180 billion (US dollar) at year of 2004, and it will be reached $270 billion by 2007.

4 4Electronic Industry Development Electronic Industry in Worldwide and in China Wide Electronic industry revenue in China wide is $227 billion (US dollar) at year of China electronics export is $124 billion, 1/3 of China total export.

5 4Electronic Industry Development Electronic Products Produced on 2003 PC revenue was 48 million units. TV revenue was 58 million units. Mobile phone was 211 million units. Communication bandwidth exchange equipments were increased by over 40%. Auto mobile industries were increased by over 40% and will be reached $5.5 billion revenue on 2007.

6 4Electronic Industry Development Electronic Products vs. Semiconductor Semiconductor revenue in worldwide is $163 billion (US dollar) at year of Semiconductor revenue in China wide is $25.2 billion at year of 2003, which is about 16% of worldwide revenue. 1) CPU design and development 2) DSP design and development 3) LCD design and development 4) Mobile phone related chip design and development

7 4Semiconductor Development How to look into semiconductor industry development? People give a prediction for 10 years or 50 years or forever in changing or keeping semiconductor materials or factor. Companies change the strategy to challenge the semiconductor industry. More business specialists believe the market is the key to look into. Someone only look for the business cycles to believe the development no ending.

8 4Semiconductor Development How to look into China s semiconductor industry development? China s semiconductor industry has a very young age. China s semiconductor is learning the business strategies from USA, Japan, and Europe. China is not concerning the problem with the world but we need more intellectual properties. China would look into high-end products in semiconductor development.

9 4Semiconductor Development The Strong Point and The Weak Point From China Semiconductor Development Developing traditional and continuous able products (like analog circuit design). Developing new mini product design and simple circuit design (like digital circuit design on mobile phone chip, smart card chip). Semiconductor manufacturing cost reduction and competition (low-end process like 6 wafer manufacture).

10 4Semiconductor Development The Strong Point and The Weak Point From China Semiconductor Development Build low cost bases for manufacturing of assembly and testing (like real-state cost, facility cost, material cost and engineer cost). Lack of intellectual property of design and technology. Lack of good base of technology research and professional experience on high-end design. Lack of long-term strategies to invest a research and development center.

11 Outline 1. Overview IC Testing Establishment 2. The Types of Tests in IC Manufacture 3. The Types of IC Product Tests 4. Test Classification 5. Basic Manufacture Test Flow 6. Basic Production Test Flow 7. Impact Test Cost in IC Manufacture

12 Outline 8. Impact Test Cycle in IC Manufacture 9. Objectives of Product and Production Testing 10. Test vs. Quality and Reliability 11. Tester Divided by Test Capabilities 12. Tester Divided by Test Functions 13. Other Important Facilities

13 4Overview IC Test Establishment How is IC test established? From design point of view From manufacture point of view From system design point of view From failure analysis point of view From customer requirement point of view How important is the testing in IC industry? Measuring new products realistic Monitoring manufacture process Evidence for consumer acceptance

14 4Overview IC Test Establishment What is the future development for IC test? Design for self-test Separate IP core test Multiple test methods on single tester Simple product test with complicated tester Reduce software development time

15 4Types of Testing in IC Mfg. How many are the major types of testing? Design type of test. Manufacture or product type of test. Production type of test. Reliability type of test. Physical failure analysis type of test. Detail Included Each Test Type Design types: design simulation test, design debug test, design characterization test and design qualification test.

16 4Types of Testing in IC Mfg. Detail Included Each Test Type Mfg and product types: process step test, E (electron) test, engineering test and yield enhancement test. Production types: wafer probing test, assembly package test, final test and QA test. Reliability types: characterization test and qualification test. Physical FA types:

17 4Types of Product Testing Six Types of Product Testing in IC Field Memory types ROM and RAM devices. Logic types programmable logic devices. System types embedded, microcontroller, Soc devices. Mixed-signal types analog and digital devices. RF types optical and communication devices. LCD types display driver devices.

18 4Testing Classification Memory Testing (SRAM, DRAM, EEPROM, Flash Memory, Embedded Memory) Logic Testing (ASIC, FPGA, PLD, CPU) Mixed Signal Testing (ADC, DAC) SoC Testing (System on Chip Device) LCD Testing (Liquid Crystal Driver) IP Testing (PLL, ADC, DAC, USB, Bandgap, Vregulator, High-Speed I/O and so on)

19 4Impact of Testing Cost Requiring huge amounts of investments Largely varying with volumes Product and process maturity Product and process complexity Product lead time (testing on wafer, packaging cycle time and package testing)

20 4Impact of Testing Cycle Design for Testability (DFT in design side) Characterization (design development) Reliability Assessment (process manufacturing) Pre-production Testing (product engineering) Production Testing (production manufacturing) Yield Enhancement (product engineering)

21 4Impact of Testing Cycle Performance Improvement (process engineering) Test cost Reduction (manufacturing side) Product Cost Reduction (production side)

22 4Objective of Production Testing Screening sequence Performance of specification Collecting data for better control Manufacturing process fine-tuning Progress in yield enhancement process Same product by different classes of performance (speed, vcc range, temperature range, W/R & R/W performance)

23 4Testing vs. Quality and Reliability Design of the cell, process and product Debug and characterization of process and product Manufacturing machine: equipment, resources, materials and so on The overall process control The reliability assessment of process and product The overall know-how of the manufacture

24 4Tester Divided by Test Capabilities Bench-top Tester (PC based, Power Meter, Power Supply, Oscilloscopes and so on)

25 4Tester Divided by Test Capabilities Automatic Tester (Advantest, HP93000 Tester, Mosaid Tester and Teradyne Tester and so on)

26 4Tester Divided by Test Functions Logic Device (Credence Tester, HP93000 Tester, Teradyne Tester and so on ) Memory Device (Advantest, Mosaid Tester) Mixed-Signal Device (Teradyne Tester and HP93000, Yokogawa Tester and so on) SoC/LCD Device (Teradyne Tester and Yokogawa Tester and so on) IP Testchip (Bench-Top and ATE)

27 4Other Important Facilities Probe Station Handler Laser Repair/Laser Mark Probe Card DUT Board 4x1

28 Outline 1. Purpose of Testing 2. Basic Test Flow and DUT Flow 3. Basic Test Items 4. Test Methodology and Test Flow

29 1) Purposes of Testing For Design Houses or for Foundry - Guarantee the products meet design targets. - Pre-screen for reliability test. - Quality assurance to the production process. - Meet customer spec. and requirement. For Customers - Verification of the product s functions - Monitor the quality of incoming products

30 2) Basic Testing Flow IC Fabrication Yield: Testing Quality: Shipped Parts Fraction of good parts Defective parts per million (DPM) Rejects

31 2) Basic DUT Flow Test Stimulus DUT DUT Response Good Or Bad? Pass Fail

32 3) Basic Test Items 4DC Parameter Test (Static Test) 4Functional Test 4AC Parameter Test (Dynamic Test) 4Reliability Related Test

33 4DC Parameter Test When a voltage or current is measured during the test and the pass/fail results are based upon the measured value Continuity Test (Open & Short) Leakage Test. (Iil / lih) Output Driving Test (Vol / Voh, Iol / IoH) Dynamic Current Test (Power Consumption) Quiescent Current Test (Standby and Power Down)

34 4DC Parameter Test (Datasheet)

35 4Function Test When the device is actively performing logical functions. Input data is supplied to DUT and output data is read from the DUT to determine the pass/fail results of the test. Configuration Test (registers, state-machine and output configuring and logic functionality) Pattern Test (program/verify or write/read and different patterns test and memory functionality) Sense Amplifier Functionality Test Build-in-Self-Test (BIST and DFT)

36 4AC Parameter Test AC testing is performed by setting up the appropriate timing values (edge placements) and signal formats as defined in the device AC specification and then executing a functional test sequence. Setup Time Hold Time Output Propagation Delay Pulse Width Rising Time Falling Time

37 4AC Parameter Test (Datasheet)

38 4AC Parameter Test (Timing Diagram)

39 -Setup Time Data Input Setup Time Relative to /WE Low to High Transition WE Data In Setup Time

40 - Hold Time Data Input Hold Time Relative to /WE Low to High Transition WE Data In Hold Time

41 - Propagation Delay Output Propagation Delay Relative to Address Valid Time Address Valid Address Data In Valid Data Propagation Delay

42 - Pulse Width/Rise Time/Fall Time WE Pulse Width Data In Rise Time Fall Time

43 - AC Signal Waveform

44 4Reliability Related Test Program Cycling Test Program High Temperature Test Bake Between Test from Step to Step Burn-in Between Test from Step to Step

45 4) Test Methodology & Test Flow 4Test Aspects 4Test Methods 4Block Test Flow 4Test Program Flow 4Software Accomplishment

46 4Test Aspects DC Test & Parametric AC Test & Parametric Functional Programming Test Retention / Endurance Reliability Test Write / Read / Verify Functional Test Mixed Signal Converting Test High Speed I/O Driver Test Check Build-In-Self-Test Frequency / Timing Input and Output Test

47 4Test Methods Understand Device Technology (Memory, SoC or Mixed-Signal, or Logic CPU) Understand Test Specification and Criteria (Datasheet, Design Architecture) Create a Block Test Flow (Test steps of overall) Create a Detail Spec. Test Flow (Program test flow) Program Development -> Program Debugging - > Program Verification Program Delivery to Engineering Test or Production Test

48 4Manufactury Test Flow Wafer Acceptance Testing Final (Package) Testing Design Debug Testing Quality Control Testing Reliability Testing Characterization (Engineering) Testing Wafer Sort Testing Incoming (Inspection) Testing

49 4Production Test Flow Wafer Acceptance Testing Final (Package) Testing Wafer Sort Testing Packaging If Passed Testing Marking And Testing Repair If Failed Testing Quality Control Testing FG or Shipping

50 4Block Test Flow Wafer Sort 1 Bake at High Temp. Wafer Sort 2 Assembly (Package) Final Test 1 Burn-in For Monitor Final Test 2 QA/QC Test

51 4Test Program Flow Continuity Test Program Ptn And Verify AC Parameter Test ICC and Standby Test Configuration Or Logic Test AD/DA Convert Test Bist and Scan Test Program Pattern & ID Verify Pattern & ID

52 4Software Accomplishment Wafersort 1(Chip Probing -1) Test Program Wafersort 2 (Chip Probing -2) Test Program Final Test Program 1 Final Test Program 2 QA/QC Test Program (Qualification check for delivery test)

53 4Summary Testing of complex ICs is responsible for the second highest contribution (after wafer fab.) to the total manufacturing cost of the product. Testing is expensive and will be even more expensive if changes are made. a) Higher integration of circuit (mixed digital and analog signal, Embedded memory) b) High speed signaling (over 500MHz)

Lecture 2 VLSI Testing Process and Equipment

Lecture 2 VLSI Testing Process and Equipment Lecture 2 VLSI Testing Process and Equipment Motivation Types of Testing Test Specifications and Plan Test Programming Test Data Analysis Automatic Test Equipment Parametric Testing Summary VLSI Test:

More information

Testing Principle Verification Testing

Testing Principle Verification Testing ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Test Process and Test Equipment Overview Objective Types of testing Verification testing Characterization testing Manufacturing testing Acceptance

More information

EE434 ASIC & Digital Systems Testing

EE434 ASIC & Digital Systems Testing EE434 ASIC & Digital Systems Testing Spring 2015 Dae Hyun Kim daehyun@eecs.wsu.edu 1 Introduction VLSI realization process Verification and test Ideal and real tests Costs of testing Roles of testing A

More information

ENG04057 Teste de Sistema Integrados. Prof. Eric Ericson Fabris (Marcelo Lubaszewski)

ENG04057 Teste de Sistema Integrados. Prof. Eric Ericson Fabris (Marcelo Lubaszewski) ENG04057 Teste de Sistema Integrados Prof. Eric Ericson Fabris (Marcelo Lubaszewski) Março 2011 Slides adapted from ABRAMOVICI, M.; BREUER, M.; FRIEDMAN, A. Digital Systems Testing and Testable Design.

More information

High Performance Mixed-Signal Solutions from Aeroflex

High Performance Mixed-Signal Solutions from Aeroflex High Performance Mixed-Signal Solutions from Aeroflex We Connect the REAL World to the Digital World Solution-Minded Performance-Driven Customer-Focused Aeroflex (NASDAQ:ARXX) Corporate Overview Diversified

More information

TABLE OF CONTENTS III. Section 1. Executive Summary

TABLE OF CONTENTS III. Section 1. Executive Summary Section 1. Executive Summary... 1-1 Section 2. Global IC Industry Outlook and Cycles... 2-1 IC Insights' Forecast Methodology... 2-1 Overview... 2-1 Worldwide GDP... 2-1 Electronic System Sales... 2-2

More information

Mixed-Signal. From ICs to Systems. Mixed-Signal solutions from Aeroflex Colorado Springs. Standard products. Custom ASICs. Mixed-Signal modules

Mixed-Signal. From ICs to Systems. Mixed-Signal solutions from Aeroflex Colorado Springs. Standard products. Custom ASICs. Mixed-Signal modules A passion for performance. Mixed-Signal solutions from Aeroflex Colorado Springs Standard products Custom ASICs Mixed-Signal modules Circuit card assemblies Mixed-Signal From ICs to Systems RadHard ASICs

More information

November 11, 2009 Chang Kim ( 김창식 )

November 11, 2009 Chang Kim ( 김창식 ) Test Cost Challenges November 11, 2009 Chang Kim ( 김창식 ) 1 2 Where we are!!! Number of Die per wafer exponentially increasing!! Bigger Wafer Diameter 150mm 200mm 300mm 450mm 2000 2005 2010 2015 1985 1990

More information

VLSI System Testing. Lecture 1 Introduction Class website: people.ee.duke.edu/~krish/teaching/538.html

VLSI System Testing. Lecture 1 Introduction Class website: people.ee.duke.edu/~krish/teaching/538.html ECE 538 VLSI System Testing Krish Chakrabarty Lecture 1: Overview Krish Chakrabarty 1 Lecture 1 Introduction Class website: people.ee.duke.edu/~krish/teaching/538.html VLSI realization process Verification

More information

CMOS Testing: Part 1. Outline

CMOS Testing: Part 1. Outline CMOS Testing: Part 1 Introduction Fault models Stuck-line (single and multiple) Bridging Stuck-open Test pattern generation Combinational circuit test generation Sequential circuit test generation ECE

More information

About the Instructor

About the Instructor About the Instructor Kwang-Ting (Tim) Cheng PhD, 1988, Univ. of California, Berkeley 1988-1993: AT&T Bell Labs 1993-Present: Professor, Dept. of ECE, Univ. of California, Santa Barbara 1999-2002: Director,

More information

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:!

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:! Acknowledgements! Introduction and Overview! Mani Soma! l Some materials from various sources! n Dr. Phil Nigh, IBM! n Principles of Testing Electronic Systems by S. Mourad and Y. Zorian! n Essentials

More information

Impact of DFT Techniques on Wafer Probe

Impact of DFT Techniques on Wafer Probe Impact of DFT Techniques on Wafer Probe Ron Leckie, CEO, INFRASTRUCTURE ron@infras.com Co-author: Charlie McDonald, LogicVision charlie@lvision.com The Embedded Test Company TM Agenda INFRASTRUCTURE Introduction

More information

Embedded Quality for Test. Yervant Zorian LogicVision, Inc.

Embedded Quality for Test. Yervant Zorian LogicVision, Inc. Embedded Quality for Test Yervant Zorian LogicVision, Inc. Electronics Industry Achieved Successful Penetration in Diverse Domains Electronics Industry (cont( cont) Met User Quality Requirements satisfying

More information

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd 2 Agenda TSMC IP Ecosystem DDR Interfaces for SoCs Summary 3 TSMC Highlights Founded in 1987 The world's first dedicated semiconductor foundry

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

Testing And Testable Design of Digital Systems

Testing And Testable Design of Digital Systems بسم الله الرحمان الرحیم Testing And Testable Design of Digital Systems College of Electrical Engineering Iran University of Science and Technology Karim Mohammadi Faut-Tolerant Digital System Design week-1

More information

Korea Semiconductor Test Now and the Future Tim Moriarty

Korea Semiconductor Test Now and the Future Tim Moriarty . Korea Semiconductor Test Now and the Future Tim Moriarty Vice President, Teradyne, Inc. President, Nextest Systems Corp. June, 2009 Key Note Topics Does Test Matter? Korea Today as a Leader Joint Innovation

More information

Burn-in & Test Socket Workshop WELCOME. March 2-5, 2003 Hilton Phoenix East / Mesa Hotel Mesa, Arizona

Burn-in & Test Socket Workshop WELCOME. March 2-5, 2003 Hilton Phoenix East / Mesa Hotel Mesa, Arizona Burn-in & Test Socket Workshop WELCOME March 2-5, 2003 Hilton Phoenix East / Mesa Hotel Mesa, Arizona Sponsored By The IEEE Computer Society Test Technology Technical Council tttc COPYRIGHT NOTICE The

More information

Future Trends One Mann s Opinion

Future Trends One Mann s Opinion Future Trends One Mann s Opinion Bill Mann General Chair - SWTW Southwest Test Workshop Newport Beach, CA 92663 949-645-3294 william.mann@ieee.org Future Trends One Mann s Opinion Relative Reduction in

More information

Testing Digital Systems I

Testing Digital Systems I Testing Digital Systems I Lecture 1: Introduction Instructor: M. Tahoori Copyright 2011, M. Tahoori TDS I: Lecture 1 1 Today s Lecture Logistics Course Outline Introduction Copyright 2011, M. Tahoori TDS

More information

TSV Test. Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea

TSV Test. Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea TSV Test Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea # Agenda TSV Test Issues Reliability and Burn-in High Frequency Test at Probe (HFTAP) TSV Probing Issues DFT Opportunities

More information

Wafer Probe card solutions

Wafer Probe card solutions Wafer Probe card solutions Innovative Solutions to Test Chips in the Semiconductor Industry Our long term experience in the electronic industry and our strong developing and process teams are inspired

More information

3.3 Volt CMOS Bus Interface 8-Bit Latches

3.3 Volt CMOS Bus Interface 8-Bit Latches Q 3.3 Volt CMOS Bus Interface 8-Bit Latches QS74FCT3373 QS74FCT32373 FEATURES/BENEFITS Pin and function compatible to the 74F373 JEDEC spec compatible 74LVT373 and 74FCT373T IOL = 24 ma Com. Available

More information

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Pierre Dautriche Jean-Paul Morin Advanced CMOS and analog. Embedded analog Embedded RF 0.5 um 0.18um 65nm 28nm FDSOI 0.25um 0.13um 45nm 1997

More information

Using ASIC circuits. What is ASIC. ASIC examples ASIC types and selection ASIC costs ASIC purchasing Trends in IC technologies

Using ASIC circuits. What is ASIC. ASIC examples ASIC types and selection ASIC costs ASIC purchasing Trends in IC technologies Using ASIC circuits What is this machine? ASIC examples ASIC types and selection ASIC ASIC purchasing Trends in IC technologies 9.3.2004 Turo Piila 1 9.3.2004 Turo Piila 2 What is ASIC Floorplan and layout

More information

CREATIVE CHIPS GmbH. Facts Phone: / Fax: / Internet:

CREATIVE CHIPS GmbH. Facts Phone: / Fax: / Internet: CREATIVE CHIPS GmbH Facts 2014 CREATIVE CHIPS GmbH Im Bubenstueck 1 55411 Bingen at Rhine Germany Phone: +49 6721 / 98722 0 Fax: + 49 6721 / 98722 70 E-Mail: info@creativechips.com Internet: www.creativechips.com

More information

envm in Automotive Modules MINATEC Workshop Grenoble, June 21, 2010 May Marco 28, 2009 OLIVO, ST Automotive Group

envm in Automotive Modules MINATEC Workshop Grenoble, June 21, 2010 May Marco 28, 2009 OLIVO, ST Automotive Group envm in Automotive Modules MINATEC Workshop Grenoble, June 21, 2010 May Marco 28, 2009 OLIVO, ST Automotive Group envm in automotive: Outline marketing requirements

More information

Hardware Decisions for Embedded Systems Design in Asia/Pacific

Hardware Decisions for Embedded Systems Design in Asia/Pacific End-User Analysis Hardware Decisions for Embedded Systems Design in Asia/Pacific Abstract: Over 900 embedded systems designers in Asia/Pacific responded to our survey of hardware decisions. Currently,

More information

March 20, 2002, San Jose Dominance of embedded Memories. Ulf Schlichtmann Slide 2. esram contents [Mbit] 100%

March 20, 2002, San Jose Dominance of embedded Memories. Ulf Schlichtmann Slide 2. esram contents [Mbit] 100% Goal and Outline IC designers: awareness of memory challenges isqed 2002 Memory designers: no surprises, hopefully! March 20, 2002, San Jose Dominance of embedded Memories Tomorrows High-quality SoCs Require

More information

Introduction to Wafer Level Burn-In. William R. Mann General Chairman Southwest Test Workshop

Introduction to Wafer Level Burn-In. William R. Mann General Chairman Southwest Test Workshop Introduction to Wafer Level Burn-In William R. Mann General Chairman Southwest Test Workshop Outline Conventional Burn In and Problems Wafer Level BI Driving Factors Initial Die Level BI Technical Challenges

More information

Total Ionizing Dose Test Report. No. 17T-RT3PE3000L-CG484-QMPWN

Total Ionizing Dose Test Report. No. 17T-RT3PE3000L-CG484-QMPWN Total Ionizing Dose Test Report No. 17T-RT3PE3000L-CG484-QMPWN March 24, 2017 Table of Contents I. Summary Table... 3 II. Total Ionizing Dose (TID) Testing... 3 A. Device-Under-Test (DUT) and Irradiation

More information

Driving Semiconductor Industry Optimization From. Walden C. Rhines. CHAIRMAN & CEO Mentor Graphics Corporation

Driving Semiconductor Industry Optimization From. Walden C. Rhines. CHAIRMAN & CEO Mentor Graphics Corporation Driving Semiconductor Industry Optimization From U.S.-Taiwan-China Relationships Walden C. Rhines CHAIRMAN & CEO Mentor Graphics Corporation U.S.-Taiwan-China Semiconductor Optimization Growing the total

More information

An integrated solution for KGD: At-speed wafer-level testing and full-contact wafer-level burn-in after flip chip bumping

An integrated solution for KGD: At-speed wafer-level testing and full-contact wafer-level burn-in after flip chip bumping An integrated solution for KGD: At-speed wafer-level testing and full-contact wafer-level burn-in after flip chip bumping Yuan-Ping Tseng/ An-Hong Liu TD center ChipMOS Technologies Inc. June 5, 2001 1

More information

Wafer Probe card solutions

Wafer Probe card solutions Wafer Probe card solutions Innovative Solutions to Test Chips in the Semiconductor Industry Our long term experience in the electronic industry and our strong developing and process teams are inspired

More information

IMPLEMENTATION OF LOW POWER INTERFACE FOR VERIFICATION IP (VIP) OF AXI4 PROTOCOL

IMPLEMENTATION OF LOW POWER INTERFACE FOR VERIFICATION IP (VIP) OF AXI4 PROTOCOL e-issn 2455 1392 Volume 2 Issue 8, August 2016 pp. 1 8 Scientific Journal Impact Factor : 3.468 http://www.ijcter.com IMPLEMENTATION OF LOW POWER INTERFACE FOR VERIFICATION IP (VIP) OF AXI4 PROTOCOL Bhavana

More information

Kee Sup Kim Samsung Electronics. ramework for Massively Parallel esting at Wafer and Package Test

Kee Sup Kim Samsung Electronics. ramework for Massively Parallel esting at Wafer and Package Test Kee Sup Kim Samsung Electronics ramework for Massively Parallel esting at Wafer and Package Test Key Message Massively parallel testing Possible Positive Return DFT Can be exciting 3 Outline Introduction

More information

Digital Integrated Circuits

Digital Integrated Circuits Digital Integrated Circuits Lecture Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University Design/manufacture Process Chung EPC655 2 Design/manufacture Process Chung EPC655 3 Layout

More information

AVR XMEGA Product Line Introduction AVR XMEGA TM. Product Introduction.

AVR XMEGA Product Line Introduction AVR XMEGA TM. Product Introduction. AVR XMEGA TM Product Introduction 32-bit AVR UC3 AVR Flash Microcontrollers The highest performance AVR in the world 8/16-bit AVR XMEGA Peripheral Performance 8-bit megaavr The world s most successful

More information

Overview of Microcontroller and Embedded Systems

Overview of Microcontroller and Embedded Systems UNIT-III Overview of Microcontroller and Embedded Systems Embedded Hardware and Various Building Blocks: The basic hardware components of an embedded system shown in a block diagram in below figure. These

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 8(2) I DDQ Current Testing (Chapter 13) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Learning aims Describe the

More information

VLSI Testing. Introduction. Virendra Singh Indian Institute of Science Bangalore

VLSI Testing. Introduction. Virendra Singh Indian Institute of Science Bangalore VLSI Testing Introduction Virendra Singh Indian Institute of Science Bangalore virendra@computer.org E0 286: Test & Verification of SoC Design Lecture - 1 Reading Material Text Book: M.L. Bushnell and

More information

128Kx8 CMOS MONOLITHIC EEPROM SMD

128Kx8 CMOS MONOLITHIC EEPROM SMD 128Kx8 CMOS MONOLITHIC EEPROM SMD 5962-96796 WME128K8-XXX FEATURES Read Access Times of 125, 140, 150, 200, 250, 300ns JEDEC Approved Packages 32 pin, Hermetic Ceramic, 0.600" DIP (Package 300) 32 lead,

More information

Designing for Low Power with Programmable System Solutions Dr. Yankin Tanurhan, Vice President, System Solutions and Advanced Applications

Designing for Low Power with Programmable System Solutions Dr. Yankin Tanurhan, Vice President, System Solutions and Advanced Applications Designing for Low Power with Programmable System Solutions Dr. Yankin Tanurhan, Vice President, System Solutions and Advanced Applications Overview Why is power a problem? What can FPGAs do? Are we safe

More information

All Programmable: from Silicon to System

All Programmable: from Silicon to System All Programmable: from Silicon to System Ivo Bolsens, Senior Vice President & CTO Page 1 Moore s Law: The Technology Pipeline Page 2 Industry Debates Variability Page 3 Industry Debates on Cost Page 4

More information

Use of Resource Sharing Techniques to Increase Parallel Test and Test Coverage in Wafer Test Michael Huebner

Use of Resource Sharing Techniques to Increase Parallel Test and Test Coverage in Wafer Test Michael Huebner Use of Resource Sharing Techniques to Increase Parallel Test and Test Coverage in Wafer Test Michael Huebner FormFactor, Inc Mo>va>on With increasing test >mes/dut and die per wafer, test >me/wafer and

More information

Multi-Die Packaging How Ready Are We?

Multi-Die Packaging How Ready Are We? Multi-Die Packaging How Ready Are We? Rich Rice ASE Group April 23 rd, 2015 Agenda ASE Brief Integration Drivers Multi-Chip Packaging 2.5D / 3D / SiP / SiM Design / Co-Design Challenges: an OSAT Perspective

More information

ERAI Executive Conference 2013 Orlando, Florida April 18-19, 2013

ERAI Executive Conference 2013 Orlando, Florida April 18-19, 2013 What is not enough and What is too much! (Electrical Test) ERAI Executive Conference 2013 Orlando, Florida April 18-19, 2013 Sultan Ali Lilani i Technical Business Development Integra Technologies LLC

More information

Curve Tracing Systems

Curve Tracing Systems Curve Tracing Systems Models Available MultiTrace: The most flexible solution for devices up to 625 pins, capable of any of the applications described here. Comes with a PGA-625 fixture MegaTrace: A larger

More information

NPI Test Engineer Penang - Bayan Lepas

NPI Test Engineer Penang - Bayan Lepas NPI Test Engineer - Bayan Lepas Create test plans for new products to assure the products meet specifications. Design and implement test cases to achieve highest test coverage for products. Investigate

More information

DESCRIPTION OF POSITION Senior level engineer will support full custom circuit design for this company s processor and its derivatives.

DESCRIPTION OF POSITION Senior level engineer will support full custom circuit design for this company s processor and its derivatives. AUSTIN OPPORTUNITIES ASIC Bring-up and Test Engineer Austin, Texas POSITION SUMMARY As part of a strong design team, develop and execute plans for the hardware verification and testing of company designed

More information

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012 3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012 What the fuss is all about * Source : ECN Magazine March 2011 * Source : EDN Magazine

More information

Enabling Intelligent Digital Power IC Solutions with Anti-Fuse-Based 1T-OTP

Enabling Intelligent Digital Power IC Solutions with Anti-Fuse-Based 1T-OTP Enabling Intelligent Digital Power IC Solutions with Anti-Fuse-Based 1T-OTP Jim Lipman, Sidense David New, Powervation 1 THE NEED FOR POWER MANAGEMENT SOLUTIONS WITH OTP MEMORY As electronic systems gain

More information

Testable SOC Design. Sungho Kang

Testable SOC Design. Sungho Kang Testable SOC Design Sungho Kang 2001.10.5 Outline Introduction SOC Test Challenges IEEE P1500 SOC Test Strategies Conclusion 2 SOC Design Evolution Emergence of very large transistor counts on a single

More information

White Paper. The Case for Developing Custom Analog. Custom analog SoCs - real option for more product managers.

White Paper. The Case for Developing Custom Analog. Custom analog SoCs - real option for more product managers. The Case for Developing Custom Analog Custom analog SoCs - real option for more product managers. White Paper The contents of this document are owned or controlled by S3 Group and are protected under applicable

More information

16Mbit, 512KX32 CMOS S-RAM MODULE

16Mbit, 512KX32 CMOS S-RAM MODULE 16Mbit, 512KX32 CMOS S-RAM MODULE Features Access Times: 25, 35 and 45ns Package Options: 66-Pin Ceramic PGA 1.385" SQ 66-Pin Ceramic PGA 1.173" SQ 68-Lead Ceramic QFP 0.88" SQ Fit & Function JEDEC 68-CQFP

More information

THE LPC84X MCU FAMILY A MULTI-TESTER TOOL OFFERING FEATURES FOR YOUR NEXT IOT DESIGN

THE LPC84X MCU FAMILY A MULTI-TESTER TOOL OFFERING FEATURES FOR YOUR NEXT IOT DESIGN THE LPC84X MCU FAMILY A MULTI-TESTER TOOL OFFERING FEATURES FOR YOUR NEXT IOT DESIGN KEVIN TOWNSEND (MICROBUILDER) BRENDON SLADE (NXP) Agenda Part I Overview of the LPC84x Multi-Tester Swiss army knife

More information

Verigy a Premier Semiconductor Test Company

Verigy a Premier Semiconductor Test Company Verigy a Premier Semiconductor Test Company Safe Harbor Statement This webcast or presentation contains certain forward-looking statements within the meaning of the Safe Harbor provisions of the Private

More information

Recent IoT/Automotive device Trends and testing challenges Presented To: SiP Conference China 2018 Presented By: Kotaro HASEGAWA

Recent IoT/Automotive device Trends and testing challenges Presented To: SiP Conference China 2018 Presented By: Kotaro HASEGAWA Recent IoT/Automotive device Trends and testing challenges Presented To: SiP Conference China 2018 Presented By: Kotaro HASEGAWA 2018/10/19 All Rights Reserved - ADVANTEST CORPORATION 1 IoT Market Trend

More information

BATSEL KEY3 KEY2 HOSCO GPIO0 DCDIS HOSCI KEY0 D4 KEY1 D3. Reset Default. 2 D7 BI / L Bit7 of ext. memory data bus

BATSEL KEY3 KEY2 HOSCO GPIO0 DCDIS HOSCI KEY0 D4 KEY1 D3. Reset Default. 2 D7 BI / L Bit7 of ext. memory data bus 3. Pin Description 48 47 46 45 44 43 42 41 40 39 38 37 36 35 34 33 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 NGND LXVCC KEY4 GPIO1 CE2# GPIO2 CE1# VDD KEY5 KEY6 LED0 LED1 LED2 NC NC VCC LXVDD BAT

More information

PXI Tsunami in Semiconductor ATE Michael Dewey Geotest Marvin Test Systems Silicon Valley Test Conference

PXI Tsunami in Semiconductor ATE Michael Dewey Geotest Marvin Test Systems Silicon Valley Test Conference PXI Tsunami in Semiconductor ATE Michael Dewey Geotest Marvin Test Systems miked@geotestinc.com Silicon Valley Test Conference 2012 1 Agenda Geotest background Semiconductor market and trends PXI for semiconductor

More information

AK6512CA SPI bus 64Kbit Serial CMOS EEPROM

AK6512CA SPI bus 64Kbit Serial CMOS EEPROM AK6512CA SPI bus 64Kbit Serial CMOS EEPROM Features Advanced CMOS EEPROM Technology Single Voltage Supply: 1.8V to 5.5V 64Kbits; 8192 x 8 organization SPI Serial Interface Compatible High Speed Operation

More information

The Boundary - Scan Handbook

The Boundary - Scan Handbook The Boundary - Scan Handbook By Kenneth P. Parker Agilent Technologies * KLUWER ACADEMIC PUBLISHERS Boston / Dordrecht / London TABLE OF CONTENTS List of Figures xiii List of Tables xvi List of Design-for-Test

More information

Military Grade SmartFusion Customizable System-on-Chip (csoc)

Military Grade SmartFusion Customizable System-on-Chip (csoc) Military Grade SmartFusion Customizable System-on-Chip (csoc) Product Benefits 100% Military Temperature Tested and Qualified from 55 C to 125 C Not Susceptible to Neutron-Induced Configuration Loss Microcontroller

More information

Hardware Design with VHDL PLDs I ECE 443. FPGAs can be configured at least once, many are reprogrammable.

Hardware Design with VHDL PLDs I ECE 443. FPGAs can be configured at least once, many are reprogrammable. PLDs, ASICs and FPGAs FPGA definition: Digital integrated circuit that contains configurable blocks of logic and configurable interconnects between these blocks. Key points: Manufacturer does NOT determine

More information

BA-BIST: Board Test from Inside the IC Out

BA-BIST: Board Test from Inside the IC Out BA-BIST: Board Test from Inside the IC Out Zoë Conroy, Cisco Al Crouch, Asset InterTech inemi BIST Project 1 05/18/2013 About this Presentation Board-Assist (BA-BIST) is enhanced IC BIST functionality

More information

SEMICO Res e arch Corporation

SEMICO Res e arch Corporation SEMICO Res e arch Corporation Industry Review 2000 March 31, 2000 Bob Merritt Macro Economics US economy continues to gain Strength GDP +4.5% Japan stalls after a slight recovery in 1999 GDP +0.9% Korean

More information

3.3V CMOS 1-TO-5 CLOCK DRIVER

3.3V CMOS 1-TO-5 CLOCK DRIVER 3. CMOS 1-TO-5 CLOCK DRIVER 3. CMOS 1-TO-5 CLOCK DRIVER IDT74FCT38075 FEATURES: Advanced CMOS Technology Guaranteed low skew < 100ps (max.) Very low duty cycle distortion< 250ps (max.) High speed propagation

More information

16Mbit, 512KX32 CMOS S-RAM MODULE

16Mbit, 512KX32 CMOS S-RAM MODULE 16Mbit, 512KX32 CMOS S-RAM MODULE Features Access Times: 17 and 20ns Package Options: 66-Pin Ceramic PGA 1.080" SQ 66-Pin Ceramic PGA 1.173" SQ 68-Lead Ceramic QFP 0.88" SQ Fit & Function JEDEC 68-CQFJ

More information

UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163

UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163 UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163 LEARNING OUTCOMES 4.1 DESIGN METHODOLOGY By the end of this unit, student should be able to: 1. Explain the design methodology for integrated circuit.

More information

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors)

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors) 1 COEN-4730 Computer Architecture Lecture 12 Testing and Design for Testability (focus: processors) Cristinel Ababei Dept. of Electrical and Computer Engineering Marquette University 1 Outline Testing

More information

I N V E S T O R S P R E S E N T A T I O N

I N V E S T O R S P R E S E N T A T I O N I N V E S T O R S P R E S E N T A T I O N Rafi Amit, CEO Moshe Eisenberg, CFO November 2018 SAFE HARBOR The information presented today contains forward-looking statements that relate to anticipated future

More information

On-Chip Design Verification with Xilinx FPGAs

On-Chip Design Verification with Xilinx FPGAs On-Chip Design Verification with Xilinx FPGAs Application Note 1456 Xilinx Virtex-II Pro devices have redefined FPGAs. The Virtex-II Pro brings with it not only a denser and faster FPGA, but an IBM PPC

More information

Jae Wook Lee. SIC R&D Lab. LG Electronics

Jae Wook Lee. SIC R&D Lab. LG Electronics Jae Wook Lee SIC R&D Lab. LG Electronics Contents Introduction Why power validation on mobile application processor? Then, what to validate? Who is in charge of validation? Power Validation Components

More information

Renesas New Generation of R8C/Tiny Series MCUs Adds 1.8V Support and Coprocessing With Background Operation to Enable Low-cost Innovative Designs

Renesas New Generation of R8C/Tiny Series MCUs Adds 1.8V Support and Coprocessing With Background Operation to Enable Low-cost Innovative Designs PRESS CONTACT: Akiko Ishiyama Renesas Technology America, Inc. (408) 382-7407 akiko.ishiyama@renesas.com Renesas New Generation of R8C/Tiny Series MCUs Adds 1.8V Support and Coprocessing With Background

More information

University Program Advance Material

University Program Advance Material University Program Advance Material Advance Material Modules Introduction ti to C8051F360 Analog Performance Measurement (ADC and DAC) Detailed overview of system variances, parameters (offset, gain, linearity)

More information

Burn-in & Test Socket Workshop

Burn-in & Test Socket Workshop Burn-in & Test Socket Workshop IEEE March 4-7, 2001 Hilton Mesa Pavilion Hotel Mesa, Arizona IEEE COMPUTER SOCIETY Sponsored By The IEEE Computer Society Test Technology Technical Council COPYRIGHT NOTICE

More information

ADVANCES IN ELECTRONIC TESTING CHALLENGES AND METHODOLOGIES. Edited by. DIMITRIS GIZOPOULOS University of Piraeus, Greece.

ADVANCES IN ELECTRONIC TESTING CHALLENGES AND METHODOLOGIES. Edited by. DIMITRIS GIZOPOULOS University of Piraeus, Greece. ADVANCES IN ELECTRONIC TESTING CHALLENGES AND METHODOLOGIES Edited by DIMITRIS GIZOPOULOS University of Piraeus, Greece 4y Springer Foreword xiii by Vishwani D. Agrawal Preface xvii by Dimitris Gizopoulos

More information

Basic computer principles

Basic computer principles Basic computer principles deeper view AREEJ ALOUFI Types of computers: supercomputer/mainframe. Minicomputer. Microcomputer. 3 Supercomputers/ Mainframes A mainframe computer is a large computer, often

More information

Digital Electronics 27. Digital System Design using PLDs

Digital Electronics 27. Digital System Design using PLDs 1 Module -27 Digital System Design 1. Introduction 2. Digital System Design 2.1 Standard (Fixed function) ICs based approach 2.2 Programmable ICs based approach 3. Comparison of Digital System Design approaches

More information

Accessing On-chip Instruments Through the Life-time of Systems ERIK LARSSON

Accessing On-chip Instruments Through the Life-time of Systems ERIK LARSSON Accessing On-chip Instruments Through the Life-time of Systems ERIK LARSSON Motivation We know: Electronics is used everywhere Transistors increase in number and decrease in size It leads to: Many possible

More information

Presenter Name. Larry Morrell Title or job function. Vice President/GM IP Products

Presenter Name. Larry Morrell Title or job function. Vice President/GM IP Products Presenter Name Larry Morrell Title or job function Vice President/GM IP Products AEON Nonvolatile Memory IP Tier 1 customers AEON units shipped 3 6 17 30 11B 1.1B 700M 300M 70M 2005 2006 2007 2008 0.25

More information

Al Crouch ASSET InterTech InterTech.com

Al Crouch ASSET InterTech InterTech.com IJTAG Test Strategy for 3D IC Integration Al Crouch ASSET InterTech acrouch@asset InterTech.com Silicon Valley Test Conference 2011 1 Why 3D? So, who suffers? Fab Tool Providers they only have 5 customers

More information

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs Open-Silicon.com 490 N. McCarthy Blvd, #220 Milpitas, CA 95035 408-240-5700 HQ High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs Open-Silicon Asim Salim VP Mfg. Operations 20+ experience

More information

SCANWORKS TEST DEVELOPMENT STATION BUNDLE

SCANWORKS TEST DEVELOPMENT STATION BUNDLE SCANWORKS TEST DEVELOPMENT STATION BUNDLE The ScanWorks Test Development Station is the most powerful set of boundary-scan test development and application tools available. It not only includes all the

More information

AT29C K (32K x 8) 5-volt Only CMOS Flash Memory. Features. Description. Pin Configurations

AT29C K (32K x 8) 5-volt Only CMOS Flash Memory. Features. Description. Pin Configurations Features Fast Read Access Time - 70 ns 5-Volt-Only Reprogramming Page Program Operation Single Cycle Reprogram (Erase and Program) Internal Address and Data Latches for 64-Bytes Internal Program Control

More information

High Quality, Low Cost Test

High Quality, Low Cost Test Datasheet High Quality, Low Cost Test Overview is a comprehensive synthesis-based test solution for compression and advanced design-for-test that addresses the cost challenges of testing complex designs.

More information

Early Design Review of Boundary Scan in Enhancing Testability and Optimization of Test Strategy

Early Design Review of Boundary Scan in Enhancing Testability and Optimization of Test Strategy Early Design Review of Boundary Scan in Enhancing Testability and Optimization of Test Strategy Sivakumar Vijayakumar Keysight Technologies Singapore Abstract With complexities of PCB design scaling and

More information

Rev. No. History Issue Date Remark

Rev. No. History Issue Date Remark Preliminary 512K X 8 OTP CMOS EPROM Document Title 512K X 8 OTP CMOS EPROM Revision History Rev. No. History Issue Date Remark 0.0 Initial issue June 17, 1998 Preliminary 1.0 Change CE from VIL to VIH

More information

Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of Printed Circuit Board Assembly

Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of Printed Circuit Board Assembly Expanding IEEE Std 1149.1 Boundary-Scan Architecture Beyond Manufacturing Test of Printed Circuit Board Assembly Jun Balangue Keysight Technologies Singapore Jun_balangue@keysight.com Abstract This paper

More information

Introducing the FX-14 ASIC Design System. Embargoed until November 10, 2015

Introducing the FX-14 ASIC Design System. Embargoed until November 10, 2015 Introducing the FX-14 ASIC Design System Embargoed until November 10, 2015 Market Forces Are Driving Need for a New Breed of Semiconductor By 2019: Bandwidth Roughly one million minutes of video will cross

More information

Semiconductor/IC Test Solutions

Semiconductor/IC Test Solutions Semiconductor/IC Test Solutions Wafer/Chip/Package www.chromaate.com Turnkey Test & Automation Solution Provider Chroma ATE Inc, as a turnkey test & automation solution provider, integrates customized

More information

ISSN: [Bilani* et al.,7(2): February, 2018] Impact Factor: 5.164

ISSN: [Bilani* et al.,7(2): February, 2018] Impact Factor: 5.164 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY A REVIEWARTICLE OF SDRAM DESIGN WITH NECESSARY CRITERIA OF DDR CONTROLLER Sushmita Bilani *1 & Mr. Sujeet Mishra 2 *1 M.Tech Student

More information

Excellence. through Experience, Execution and Integrity

Excellence. through Experience, Execution and Integrity Excellence through Experience, Execution and Integrity Company Background In business for over 16 years Headquartered in Austin, Texas Founding Partners are Test Software & Hardware Engineers with 150+

More information

Setting the Test Standard for Tomorrow. Nasdaq: AEHR

Setting the Test Standard for Tomorrow. Nasdaq: AEHR Setting the Test Standard for Tomorrow Nasdaq: AEHR Forward Looking Statements This presentation contains forward-looking statements that involve risks and uncertainties relating to projections regarding

More information

James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd, 2003

James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd, 2003 Challenges for SoC Design in Very Deep Submicron Technologies James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd, 2003 1 Contents

More information

Characterization and Reliability of custom digital ASIC designs using a 0.8µm bulk CMOS process for high temperature applications

Characterization and Reliability of custom digital ASIC designs using a 0.8µm bulk CMOS process for high temperature applications Characterization and Reliability of custom digital ASIC designs using a 0.8µm bulk CMOS process for high temperature applications Mark Watts, Shane Rose Quartzdyne, Inc. 4334 W Links Drive Salt Lake City,

More information

Design and Synthesis for Test

Design and Synthesis for Test TDTS 80 Lecture 6 Design and Synthesis for Test Zebo Peng Embedded Systems Laboratory IDA, Linköping University Testing and its Current Practice To meet user s quality requirements. Testing aims at the

More information

Keyboard and PS/2 Mouse Controller

Keyboard and PS/2 Mouse Controller KBD43W13 Keyboard and PS/2 Mouse Controller FEATURES Supports IBM PC and Compatible System Designs Full Hardwire Design Based on Advanced VLSI CMOS Technology Supports PS/2 Mouse 6 MHz to 12 MHz Operating

More information