James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd, 2003

Size: px
Start display at page:

Download "James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd, 2003"

Transcription

1 Challenges for SoC Design in Very Deep Submicron Technologies James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd,

2 Contents Introduction and Outline VDSM technologies The challenges to Design Technologies Opportunities for Design Innovations System Level Chip Level Summary 2

3 Introduction As process technology continues to advance at the rate of ~0.7/3yrs (Moore s law), the number of available transistors is outgrowing the ability to use them The inability of efficiently designing with advanced process technologies is slowing-down the adoption of new process technologies and potentially stalling new technology development To solve this problem, new innovative design technologies (DT s) are required at every level of design 3

4 Outline Major design challenges for VDSM technologies Design productivity Power consumption Manufacturability Opportunities for DT innovations Chip-design point-of-view System-level co-design 4

5 Design Challenges with VDSM technologies 5

6 VDSM Technologies Technology Vdd (Volts) Core gate oxide (A) No. of Metal layers Dielectric constant Metal pitch - M1(um) Metal pitch - Others (um) Resistivity - M1 (ohm-cm) Resistivity - N+/Poly (Ohm/Ct) Resistivity - via (Ohm/Ct) Ca (af/um) Cf (af/um) Cc (af/um) NMOS Vt (Volts) PMOS Vt (Volts) NMOS Idsat (ua/um) PMOS Idsat(uA/um) NMOS Ioff (PA/um) PMOS Ioff (PA/um) kgates/mm^ Gate Delay (ps)

7 Design Productivity - The 1 st VDSM Challenge Design complexity usually causes poor design productivity and high design cost Transistor counts and speed increase exponentially Demand for increasing functionality, low cost & TTM More analog circuits & memories are being embedded Previously ignorable effects (e.g. noise, cross-talk, substrate noise, interconnect parasitic-rc) are becoming the critical issues kgates/mm^ Technology 0.13u CMOS 7430 x M X tor 7

8 Interconnect-Parasitic RC In VDSM, gate delay is much less than interconnect delay Total interconnect length can reach several meters Interconnect delay can be as much as 90% of total path delay in VDSM circuits The parasitics from interconnect become a dominate factor which often causes timing issue in chip design Technology Vdd (Volts) No. of Metal layers Dielectric constant Resistivity - M1 (ohm-cm) Resistivity - N+/Poly (Ohm/Ct) Resistivity - via (Ohm/Ct) Ca (af/um) Cf (af/um) Cc (af/um) Gate Delay (ps)

9 Power Consumption - The 2 nd VSDM Challenge Power has become a big issue in nanometer technologies Increasing transistor counts, chip speed, and greater device leakage are increasing both dynamic & static power consumption (P C*Vdd 2 * F + Ioff) Beyond 90nm technology, it is estimated that static power could be higher than active power Leakage/Active Contribution to Total Power Ioff (pa/um ) NMOS PMOS Vdd Technology Vdd (Volts) Power (Watts) Leakage Active Power 0.25um 0.18um 0.13um 0.10um 0.07um Technology Source: EETimes June 17,

10 Manufacturability - The 3 rd VSDM Challenge Continuous scaling of process technology has created problems in yield and reliability and testability Variability in process makes design more unpredictable Fab s are adding more design rules to address the issues, requiring more innovative DT s to implement them Gate Oxide (A) Technology M1 Pitch (um) Gate oxide (A) M 1 P itch (um ) 10

11 Evolution of Manufacturing Specs (or requirements) 0.8u 0.65u 0.5u 0.35u 0.25u 0.18u 0.13u 0.09u VDD x tor/cm^2 LDR/EDR PKG DR 5.0v 3.3v 2.5v 1.8v 1.2v 1.0v <1M More & more rules are being added to manufacturing spec. while x tor count increases and design margin decreases 2M 5M Antenna Dummy Fill OPC/SRAM bit cell Preferred LDR EM/IR Drop Parasitics (RC) Noise/X-talk 20M 50M 130M Dual Via s Parasitics (RCL) Leakage/Power More. 11

12 Manufacturability (cont.) -The 3 rd VSDM challenge As more functions are being integrated, the needs for Design For Test (DFT) is increasing: Improve Test Coverage Reduce Test Time Reduce Tester Requirements Ease Silicon debug & FA effort Self-repair Test costs in VDSM SoC designs Cost is reaching 60% of the total product cost 12

13 Major Design Challenges -The Summary Design Productivity More transistors are available for higher degree of on-chip integration with second-order effects becoming critical Power Consumption Increases due to more integrated transistors, higher speeds, and greater device leakage Manufacturability Yield Reliability Testability 13

14 Opportunities in Design Technology 14

15 Design Technology for SoC Design Technology includes: Design Libraries (IP s) Design TFMs (Tools, Flows and Methodologies) SoC design can be roughly divided into 4 major areas (design levels): System, Logic, Circuit, Physical There are many design tasks in each design area. In general, 3 TFMs are associated with each design task: Construction Analysis Correction 15

16 Design Technology for SoC Design Areas Design Tasks Design TFM s System Design Logic Design Placement & Route Construction Circuit Design Layout Design Rule Check Analysis Physical Design Func./timing Simulations N Pass? Correction Y Manufacturing Mask making 16

17 Design Technology for SoC Each design level used to operate separately or with minimum interaction from each other. But in recent years, due to the need to increase design productivity, the coupling between the design levels has been greatly enhanced In general, there are two major directions being pursued in enhancing the design capability: TFM Development: correct-by-construction Construction Analysis Correction Construction Analysis Design starts at a much higher level of abstraction 17

18 Product Development Evolution Design higher level Specifications System Design HW/SW Co-sim IC Components System Design 1980s Chipset Design Complexity System Design HW Design Productivity SW/Drivers 1990s SoC & Firmware System Integration HW 2000s Software Drivers 18

19 System Level Design System level co-design methodology has become an economic necessity for SoC design due to increasing complexity of the designs is the most effective way today to address productivity issues in SOC design Although TFM s for system level design have been advancing at a great pace recently, there is more that needs to be done to keep up with the advancements in process technology For VDSM SoC designs, we believe that a Platform Based design methodology will be the next design paradigm 19

20 Platform Based System Level Co-Design Methodology Platform based design is dominated by Memories & processors Combination of memories & processors allows more firmware on-chip which can then be used to: Produce design derivatives Enable redundancy or make functional corrections due to process defects or variability Improve performance without silicon re-spin All the major blocks are pre-verified with the silicon so that all the secondary effects (interconnects, x-talk, noise etc.) from VDSM are addressed ahead of time 20

21 Platform Based Design System architecture Hardware Synthesis SW-HW Co-SIM Software Development Silicon Implementation ROM DSP SRAM ROM DSP SRAM ROM IP s Chip-1 Audio SRAM SRAM SRAM Video Analog IP s RISC ef ROM Chip-2 Chip-3 21

22 Opportunities for System Level Design for VDSM SoC System architecture Hardware Synthesis SW-HW Co-SIM Software Development Silicon Implementation ROM DSP SRAM ROM DSP SRAM ROM IP s Chip-1 Audio SRAM SRAM SRAM Video Analog IP s RISC ef ROM Chip-2 Chip-3 22

23 Opportunities for System Level Design for VDSM SoC System architect design environment for Platformbased designs High level design partitioning and hierarchy Optimization between chip density, performance, power, manufacturability and design time Fast functional simulation (hw/sw co-simulation) 23

24 Opportunities for System Level Design for VDSM SoC System architecture Hardware Synthesis SW-HW Co-SIM Software Development Silicon Implementation ROM DSP SRAM ROM DSP SRAM ROM IP s Chip-1 Audio SRAM SRAM SRAM Video Analog IP s RISC ef ROM Chip-2 Chip-3 24

25 Opportunities for System Level Design for VDSM SoC System architect design environment for Platformbased designs High level design partitioning and hierarchy Optimization between chip density, performance, power, manufacturability and design time Fast functional simulation (hw/sw co-simulation) High level synthesis to chip design implementation Formal Verification Mixed-mode simulation Analog/Digital/RF; HW/SW co-simulation 25

26 Opportunities for System Level Design for VDSM SoC System architecture Hardware Synthesis SW-HW Co-SIM Software Development Silicon Implementation ROM DSP SRAM ROM DSP SRAM ROM IP s Chip-1 Audio SRAM SRAM SRAM Video Analog IP s RISC ef ROM Chip-2 Chip-3 26

27 Opportunities for System Level Design for VDSM SoC System architect design environment for Platformbased designs High level design partitioning and hierarchy Optimization between chip density, performance, power, manufacturability and design time Fast functional simulation (hw/sw co-simulation) High level synthesis to chip design implementation Formal Verification Mixed-mode simulation Analog/Digital/RF; HW/SW co-simulation VDSM-aware architectures: Interconnect Power Manufacturability 27

28 Interconnect-Aware System Architecture Minimize Global Interconnections Because interconnection dominates VDSM physical design, a minimum of global interconnection should be planned at architecture level For instance, serial data transfer, hierarchical partitioning and other techniques should be used to reduce the amount of global interconnect required 28

29 Interconnect-Aware System Architecture (cont.) Minimize Global Interconnections Example massively parallel architecture, with small, highly connected blocks Unable to perform hierarchical design due to interconnect count driving block sizes, resorted to fully-flat physical implementation 29

30 Interconnect-Aware System Architecture (cont.) Minimize Global Interconnections (cont) Example (cont) Extreme difficulty in generating physical design which could accommodate timing and area needs 30

31 Power-Aware System Architecture General-purpose vs application-specific hardware Dynamic power control with flexible block shut-down and restart Careful partitioning Selection of appropriate shut-down/restart sequence Data retention requirements Memory selection & partitioning Break memory space into smaller memory sections Less capacitance per bit Opportunity to power-down memories in unused address space 31

32 Power-Aware System Architecture (cont.) Dynamic P C*Vdd 2 * F Frequency Scaling Reduce frequency when performance requirements can be lowered Results in linear reduction in Dynamic Power Voltage Scaling Reduction of supply voltage when performance requirements are reduced Results in quadratic reduction in Dynamic Power 32

33 Power-Aware System Architecture (cont.) Adaptive Voltage Scaling (AVS) V DD System Processor Adaptive Power Controller Clock Management V DD_OK Performance Monitor AVS Voltage Management Companion Energy Management Power Supply APC Controller Interface Interface SOC 33

34 Power-Aware System Architecture (cont.) Provides Process and Temperature Compensation Power vs. Frequency and Temperature Fixed Voltage 85C 25C -40C 25% savings Process Compensation Temperature Compensation 63% savings Adaptive Voltage 85C 25C -40C -40C (Dynamic P C*Vdd2 * F) 34

35 Power-Aware System Architecture (cont.) Static P Ioff Exp ( C*Vt) Threshold Scaling Apply back-bias voltage to NMOS/PMOS transistors to increase Vt and reduce static leakage VDD VSS A P-well Y VDD N-well N-well N+ N+ P+ P+ P+ N+ A Y P-well N-well P-well Deep N-well N-well VSS P-sub 35

36 Power-Aware System Architecture (cont.) Static power savings vs Threshold Scaling Power Savings(%) after applied BB for P & N Power savings(%) P & N Back Bias Voltages(mV) pwr savings(%) 36

37 Power-Aware System Architecture (AVS/ATS System) Example showing multiple domains Needs proper design partitioning, interface handling, defined performance modes 37

38 Manufacturability-Aware Architecture DFT: Test plan executed at system level design E.g. decomposing complex systems into testable partitions with appropriate access Adaptive system designs capable of working with a circuit or parametric defect Examples include using error-correcting encoding and system calibration schemes Fault-tolerant systems using various techniques Redundancy Error-correcting encoding schemes Shadow memories (RAID) Parallel computation (2 out of 3) 38

39 Chip Level Design for SoC SoC Chip level design consists of logic, circuit and physical design Logic design is the process of creating gate-level representations of RTL (from system-level designs) Circuit design creates gates or design libraries (standard cells, memories, IO s) & analog functional blocks such as PLL s, converters etc. Physical design creates the layout of SoC by means of floorplanning, P&R) that meets manufacturing spec. The recent paradigm for chip level design is Top-down & hierarchical, which is likely to persist in the future For TFM s the main focus is on correct-by-construction which needs to progress in greater pace 39

40 Correct-by-Construction TFM Correct-by-construction TFM s require close linkage between logic, circuit & physical design, and eventually system-level design An example: interconnect-driven chip design > 0.25um Synthesis 0.25 & 0.18um Synthesis < 0.18 um Timing-driven Synthesis Placement Route Post-layout analysis Timing-driven Placement Timing-driven Route Post-layout analysis Timing-driven Placement Timing-driven Route Post-layout analysis 40

41 Opportunities for Chip-Level VDSM designs Design productivity Closer linkage to system-level design Logic optimization (logic) Formal & equivalency checks (logic, circuit) Analog synthesis & behavioral modeling (logic, circuit) Hierarchical designs with awareness of noise, x talk, power, yield, reliability, and testability (logic, circuit, physical) 41

42 VDSM-Aware Hierarchical Design Methodology Hierarchical design methodology has greatly improved design productivity E.g. interconnect (or timing-closure) is being solved hierarchically However, there is no solid solution for other VDSM effects such as noise, x talk or power A B C A1 A1 A2 Need to have attributes for noise, x talk & power, and need to have a methodology to pass these attributes to every level of the hierarchy A11 A12 A11 A11 Block A Block A1 42

43 Opportunities for Chip-Level VDSM designs Design productivity Closer linkage to system-level design Logic optimization (logic) Formal & equivalency checks (logic, circuit) Hierarchical designs with awareness of noise, x talk, power, yield, reliability, and testability (logic, circuit, physical) Analog synthesis & behavioral modeling (logic,circuit) Power consumption TFM s for voltage/threshold scaling architectures such as AVS/ATS (logic, circuit, physical) Innovative low power circuits (circuit) Level shifter (circuit) Designs which utilize Mixed-Vt, Mixed-Tox, and Multi-Vdd techniques simultaneously (circuit, physical) 43

44 Design with Multiple-Vt/Tox Devices To address leakage issue, the latest process technologies offer devices with multiple threshold voltage (Vt) and/or multiple gate oxide thickness (Tox) High-Vt devices have less leakage current Thicker Tox devices have less leakage current With proper TFM development, power & performance can be optimized with mixed-vt & mixed-tox devices coexisting in the same design Utilize higher Vth or thicker Tox devices when timing is not critical 44

45 Opportunities for Chip-Level VDSM Designs (cont.) Manufacturability Non-intrusive Logic BIST with advanced fault models such as x talk, path-delay, noise (logic, circuit, physical) Analog/MS & DC BIST (logic, circuit) BIST technology with self-repair (logic, circuit) Fault-tolerant designs such as ECC (logic) On-chip S/W or H/W reconfiguring (logic, circuit, physical) Automatic insertion of redundancy (logic, circuit) VDSM-aware chip implementation & analysis for DFM (logic, circuit, physical) 45

46 VDSM-aware Correct-by-Construction Having VDSM-aware hierarchical design methodology, the correct-by-construction chip design implementation can then be extended to other VDSM effects such as noise and x talk Timing-driven Synthesis Timing-driven Placement VDSM-driven Synthesis VDSM-driven Placement Interconnect Noise X talk Yield optimization Redundancy insertion Timing-Driven Route Post-layout analysis VDSM-driven Route Post-layout analysis functional Timing Power Yield Reliability 46

47 Opportunities for Chip-Level VDSM Designs (cont.) Manufacturability Non-intrusive Logic BIST with advanced fault models such as x talk, path-delay, noise (logic, circuit, physical) Analog/MS & DC BIST (logic, circuit) BIST technology with self-repair (logic, circuit) Fault-tolerant designs such as ECC (logic) On-chip S/W or H/W reconfiguring (logic, circuit, physical) Automatic insertion of redundancy (logic, circuit) VDSM-aware chip implementation & analysis for DFM (logic, circuit, physical) TFM s to address process variability such as statistical modeling and adaptive circuit (circuit, physical) 47

48 Design Margin vs Process Variability It is more difficult to over-design given greater variability in VDSM processes Need technology to properly design to the statistically probable limits of the design constraints, not a all-worstcase scenario NVTX EDR limits 3-sigma Model corners PVTX 48

49 Summary Design productivity, power consumption and design for manufacturability are the major challenges in designing SoC with VDSM technologies. These challenges are slowing down the adoption of the newest process technologies To address these challenges, innovative ideas in every level of design are needed Design TFM s for system-level and chip-level for VDSM are evolving, but they are still in their infant stage. Continued R&D in these two areas are required 49

50 Summary (cont.) SoC design start will continue to be moved to higher design level Platform-based design methodology is the next design paradigm for SoC designs More tightly-coupled interaction between each level of design is required to insure correct-byconstruction techniques 50

51 Thank You 51

52 52

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 Addressable Test Chip Technology for IC Design and Manufacturing Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 IC Design & Manufacturing Trends Both logic and memory

More information

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape Edition April 2017 Semiconductor technology & processing 3D systems-on-chip A clever partitioning of circuits to improve area, cost, power and performance. In recent years, the technology of 3D integration

More information

Design and Technology Trends

Design and Technology Trends Lecture 1 Design and Technology Trends R. Saleh Dept. of ECE University of British Columbia res@ece.ubc.ca 1 Recently Designed Chips Itanium chip (Intel), 2B tx, 700mm 2, 8 layer 65nm CMOS (4 processors)

More information

A Dual-Core Multi-Threaded Xeon Processor with 16MB L3 Cache

A Dual-Core Multi-Threaded Xeon Processor with 16MB L3 Cache A Dual-Core Multi-Threaded Xeon Processor with 16MB L3 Cache Stefan Rusu Intel Corporation Santa Clara, CA Intel and the Intel logo are registered trademarks of Intel Corporation or its subsidiaries in

More information

Adaptive Voltage Scaling (AVS) Alex Vainberg October 13, 2010

Adaptive Voltage Scaling (AVS) Alex Vainberg   October 13, 2010 Adaptive Voltage Scaling (AVS) Alex Vainberg Email: alex.vainberg@nsc.com October 13, 2010 Agenda AVS Introduction, Technology and Architecture Design Implementation Hardware Performance Monitors Overview

More information

Cluster-based approach eases clock tree synthesis

Cluster-based approach eases clock tree synthesis Page 1 of 5 EE Times: Design News Cluster-based approach eases clock tree synthesis Udhaya Kumar (11/14/2005 9:00 AM EST) URL: http://www.eetimes.com/showarticle.jhtml?articleid=173601961 Clock network

More information

VLSI Design Automation. Maurizio Palesi

VLSI Design Automation. Maurizio Palesi VLSI Design Automation 1 Outline Technology trends VLSI Design flow (an overview) 2 Outline Technology trends VLSI Design flow (an overview) 3 IC Products Processors CPU, DSP, Controllers Memory chips

More information

Low-Power Technology for Image-Processing LSIs

Low-Power Technology for Image-Processing LSIs Low- Technology for Image-Processing LSIs Yoshimi Asada The conventional LSI design assumed power would be supplied uniformly to all parts of an LSI. For a design with multiple supply voltages and a power

More information

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Pierre Dautriche Jean-Paul Morin Advanced CMOS and analog. Embedded analog Embedded RF 0.5 um 0.18um 65nm 28nm FDSOI 0.25um 0.13um 45nm 1997

More information

EITF35: Introduction to Structured VLSI Design

EITF35: Introduction to Structured VLSI Design EITF35: Introduction to Structured VLSI Design Part 1.1.2: Introduction (Digital VLSI Systems) Liang Liu liang.liu@eit.lth.se 1 Outline Why Digital? History & Roadmap Device Technology & Platforms System

More information

Testable SOC Design. Sungho Kang

Testable SOC Design. Sungho Kang Testable SOC Design Sungho Kang 2001.10.5 Outline Introduction SOC Test Challenges IEEE P1500 SOC Test Strategies Conclusion 2 SOC Design Evolution Emergence of very large transistor counts on a single

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia Lecture 4a CMOS Fabrication, Layout and Simulation R. Saleh Dept. of ECE University of British Columbia res@ece.ubc.ca 1 Fabrication Fabrication is the process used to create devices and wires. Transistors

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

Physical Implementation

Physical Implementation CS250 VLSI Systems Design Fall 2009 John Wawrzynek, Krste Asanovic, with John Lazzaro Physical Implementation Outline Standard cell back-end place and route tools make layout mostly automatic. However,

More information

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141 ECE 637 Integrated VLSI Circuits Introduction EE141 1 Introduction Course Details Instructor Mohab Anis; manis@vlsi.uwaterloo.ca Text Digital Integrated Circuits, Jan Rabaey, Prentice Hall, 2 nd edition

More information

EEM870 Embedded System and Experiment Lecture 2: Introduction to SoC Design

EEM870 Embedded System and Experiment Lecture 2: Introduction to SoC Design EEM870 Embedded System and Experiment Lecture 2: Introduction to SoC Design Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw March 2013 Agenda

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

Platform for System LSI Development

Platform for System LSI Development Platform for System LSI Development Hitachi Review Vol. 50 (2001), No. 2 45 SOCplanner : Reducing Time and Cost in Developing Systems Tsuyoshi Shimizu Yoshio Okamura Yoshimune Hagiwara Akihisa Uchida OVERVIEW:

More information

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES DIGITAL DESIGN TECHNOLOGY & TECHNIQUES CAD for ASIC Design 1 INTEGRATED CIRCUITS (IC) An integrated circuit (IC) consists complex electronic circuitries and their interconnections. William Shockley et

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 10 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Content Manufacturing Defects Wafer defects Chip defects Board defects system defects

More information

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey System-on-Chip Architecture for Mobile Applications Sabyasachi Dey Email: sabyasachi.dey@gmail.com Agenda What is Mobile Application Platform Challenges Key Architecture Focus Areas Conclusion Mobile Revolution

More information

RTL2GDS Low Power Convergence for Chip-Package-System Designs. Aveek Sarkar VP, Technology Evangelism, ANSYS Inc.

RTL2GDS Low Power Convergence for Chip-Package-System Designs. Aveek Sarkar VP, Technology Evangelism, ANSYS Inc. RTL2GDS Low Power Convergence for Chip-Package-System Designs Aveek Sarkar VP, Technology Evangelism, ANSYS Inc. Electronics Design Complexities Antenna Design and Placement Chip Low Power and Thermal

More information

Xilinx SSI Technology Concept to Silicon Development Overview

Xilinx SSI Technology Concept to Silicon Development Overview Xilinx SSI Technology Concept to Silicon Development Overview Shankar Lakka Aug 27 th, 2012 Agenda Economic Drivers and Technical Challenges Xilinx SSI Technology, Power, Performance SSI Development Overview

More information

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation Course Goals Lab Understand key components in VLSI designs Become familiar with design tools (Cadence) Understand design flows Understand behavioral, structural, and physical specifications Be able to

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public Reduce Your System Power Consumption with Altera FPGAs Agenda Benefits of lower power in systems Stratix III power technology Cyclone III power Quartus II power optimization and estimation tools Summary

More information

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics DFT Trends in the More than Moore Era Stephen Pateras Mentor Graphics steve_pateras@mentor.com Silicon Valley Test Conference 2011 1 Outline Semiconductor Technology Trends DFT in relation to: Increasing

More information

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica VLSI Design Automation 1 Outline Technology trends VLSI Design flow (an overview) 2 IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing

More information

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem.

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem. The VLSI Interconnect Challenge Avinoam Kolodny Electrical Engineering Department Technion Israel Institute of Technology VLSI Challenges System complexity Performance Tolerance to digital noise and faults

More information

IC Testing and Development in Semiconductor Area

IC Testing and Development in Semiconductor Area IC Testing and Development in Semiconductor Area Prepare by Lee Zhang, 2004 Outline 1. Electronic Industry Development 2. Semiconductor Industry Development 4Electronic Industry Development Electronic

More information

Managing Power and Performance for System-on-Chip Designs using Voltage Islands

Managing Power and Performance for System-on-Chip Designs using Voltage Islands Managing Power and Performance for System-on-Chip Designs using Voltage Islands David E. Lackey, Paul S. Zuchowski, Thomas R. Bednar, Douglas W. Stout, Scott W. Gould, John M. Cohn IBM Microelectronics

More information

A 65nm LEVEL-1 CACHE FOR MOBILE APPLICATIONS

A 65nm LEVEL-1 CACHE FOR MOBILE APPLICATIONS A 65nm LEVEL-1 CACHE FOR MOBILE APPLICATIONS ABSTRACT We describe L1 cache designed for digital signal processor (DSP) core. The cache is 32KB with variable associativity (4 to 16 ways) and is pseudo-dual-ported.

More information

Improved Circuit Reliability/Robustness. Carey Robertson Product Marketing Director Mentor Graphics Corporation

Improved Circuit Reliability/Robustness. Carey Robertson Product Marketing Director Mentor Graphics Corporation Improved Circuit Reliability/Robustness Carey Robertson Product Marketing Director Mentor Graphics Corporation Reliability Requirements are Growing in all Market Segments Transportation Mobile / Wireless

More information

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Digital Integrated Circuits A Design Perspective Jan M. Rabaey Outline (approximate) Introduction and Motivation The VLSI Design Process Details of the MOS Transistor Device Fabrication Design Rules CMOS

More information

CMP Model Application in RC and Timing Extraction Flow

CMP Model Application in RC and Timing Extraction Flow INVENTIVE CMP Model Application in RC and Timing Extraction Flow Hongmei Liao*, Li Song +, Nickhil Jakadtar +, Taber Smith + * Qualcomm Inc. San Diego, CA 92121 + Cadence Design Systems, Inc. San Jose,

More information

SYNTHESIS FOR ADVANCED NODES

SYNTHESIS FOR ADVANCED NODES SYNTHESIS FOR ADVANCED NODES Abhijeet Chakraborty Janet Olson SYNOPSYS, INC ISPD 2012 Synopsys 2012 1 ISPD 2012 Outline Logic Synthesis Evolution Technology and Market Trends The Interconnect Challenge

More information

A 50% Lower Power ARM Cortex CPU using DDC Technology with Body Bias. David Kidd August 26, 2013

A 50% Lower Power ARM Cortex CPU using DDC Technology with Body Bias. David Kidd August 26, 2013 A 50% Lower Power ARM Cortex CPU using DDC Technology with Body Bias David Kidd August 26, 2013 1 HOTCHIPS 2013 Copyright 2013 SuVolta, Inc. All rights reserved. Agenda DDC transistor and PowerShrink platform

More information

Taming the Challenges of 20nm Custom/Analog Design

Taming the Challenges of 20nm Custom/Analog Design Taming the Challenges of 20nm Custom/Analog Design Custom and analog designers will lay the foundation for 20nm IC design. However, they face many challenges that arise from manufacturing complexity. The

More information

Moore s s Law, 40 years and Counting

Moore s s Law, 40 years and Counting Moore s s Law, 40 years and Counting Future Directions of Silicon and Packaging Bill Holt General Manager Technology and Manufacturing Group Intel Corporation InterPACK 05 2005 Heat Transfer Conference

More information

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 1: Introduction to VLSI Technology Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Course Objectives

More information

Comprehensive Place-and-Route Platform Olympus-SoC

Comprehensive Place-and-Route Platform Olympus-SoC Comprehensive Place-and-Route Platform Olympus-SoC Digital IC Design D A T A S H E E T BENEFITS: Olympus-SoC is a comprehensive netlist-to-gdsii physical design implementation platform. Solving Advanced

More information

Custom Design Formal Equivalence Checking Based on Symbolic Simulation. Overview. Verification Scope. Create Verilog model. Behavioral Verilog

Custom Design Formal Equivalence Checking Based on Symbolic Simulation. Overview. Verification Scope. Create Verilog model. Behavioral Verilog DATASHEET Custom Design Formal Equivalence Checking Based on Symbolic Simulation High-quality equivalence checking for full-custom designs Overview is an equivalence checker for full custom designs. It

More information

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 TABLE OF CONTENTS 1.0 PURPOSE... 1 2.0 INTRODUCTION... 1 3.0 ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 3.1 PRODUCT DEFINITION PHASE... 3 3.2 CHIP ARCHITECTURE PHASE... 4 3.3 MODULE AND FULL IC DESIGN PHASE...

More information

TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES

TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Kewal K. Saluja University of Wisconsin - Madison Motivation, Fault Models and some Callenges Overview Motivation Technology, Test cost, and VLSI realization

More information

8D-3. Experiences of Low Power Design Implementation and Verification. Shi-Hao Chen. Jiing-Yuan Lin

8D-3. Experiences of Low Power Design Implementation and Verification. Shi-Hao Chen. Jiing-Yuan Lin Experiences of Low Power Design Implementation and Verification Shi-Hao Chen Global Unichip Corp. Hsin-Chu Science Park, Hsin-Chu, Taiwan 300 +886-3-564-6600 hockchen@globalunichip.com Jiing-Yuan Lin Global

More information

Gigascale Integration Design Challenges & Opportunities. Shekhar Borkar Circuit Research, Intel Labs October 24, 2004

Gigascale Integration Design Challenges & Opportunities. Shekhar Borkar Circuit Research, Intel Labs October 24, 2004 Gigascale Integration Design Challenges & Opportunities Shekhar Borkar Circuit Research, Intel Labs October 24, 2004 Outline CMOS technology challenges Technology, circuit and μarchitecture solutions Integration

More information

Cutting Power Consumption in HDD Electronics. Duncan Furness Senior Product Manager

Cutting Power Consumption in HDD Electronics. Duncan Furness Senior Product Manager Cutting Power Consumption in HDD Electronics Duncan Furness Senior Product Manager Situation Overview The industry continues to drive to lower power solutions Driven by: Need for higher reliability Extended

More information

More Course Information

More Course Information More Course Information Labs and lectures are both important Labs: cover more on hands-on design/tool/flow issues Lectures: important in terms of basic concepts and fundamentals Do well in labs Do well

More information

High Quality, Low Cost Test

High Quality, Low Cost Test Datasheet High Quality, Low Cost Test Overview is a comprehensive synthesis-based test solution for compression and advanced design-for-test that addresses the cost challenges of testing complex designs.

More information

Jae Wook Lee. SIC R&D Lab. LG Electronics

Jae Wook Lee. SIC R&D Lab. LG Electronics Jae Wook Lee SIC R&D Lab. LG Electronics Contents Introduction Why power validation on mobile application processor? Then, what to validate? Who is in charge of validation? Power Validation Components

More information

EE241 - Spring 2004 Advanced Digital Integrated Circuits

EE241 - Spring 2004 Advanced Digital Integrated Circuits EE24 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolić Lecture 2 Impact of Scaling Class Material Last lecture Class scope, organization Today s lecture Impact of scaling 2 Major Roadblocks.

More information

Introduction. Summary. Why computer architecture? Technology trends Cost issues

Introduction. Summary. Why computer architecture? Technology trends Cost issues Introduction 1 Summary Why computer architecture? Technology trends Cost issues 2 1 Computer architecture? Computer Architecture refers to the attributes of a system visible to a programmer (that have

More information

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February-2014 938 LOW POWER SRAM ARCHITECTURE AT DEEP SUBMICRON CMOS TECHNOLOGY T.SANKARARAO STUDENT OF GITAS, S.SEKHAR DILEEP

More information

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN 1 Introduction The evolution of integrated circuit (IC) fabrication techniques is a unique fact in the history of modern industry. The improvements in terms of speed, density and cost have kept constant

More information

Designing for Low Power with Programmable System Solutions Dr. Yankin Tanurhan, Vice President, System Solutions and Advanced Applications

Designing for Low Power with Programmable System Solutions Dr. Yankin Tanurhan, Vice President, System Solutions and Advanced Applications Designing for Low Power with Programmable System Solutions Dr. Yankin Tanurhan, Vice President, System Solutions and Advanced Applications Overview Why is power a problem? What can FPGAs do? Are we safe

More information

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE.

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE. Memory Design I Professor Chris H. Kim University of Minnesota Dept. of ECE chriskim@ece.umn.edu Array-Structured Memory Architecture 2 1 Semiconductor Memory Classification Read-Write Wi Memory Non-Volatile

More information

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group I N V E N T I V E DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group Moore s Law & More : Tall And Thin More than Moore: Diversification Moore s

More information

Circuits Multi Projets

Circuits Multi Projets Circuits Multi Projets MPW Services Center for IC / MEMS Prototyping http://cmp.imag.fr Grenoble France CMP annual users meeting, 4 Feb. 2016, PARIS STMicroelectronics Standard Technology offers at CMP

More information

Embedded Quality for Test. Yervant Zorian LogicVision, Inc.

Embedded Quality for Test. Yervant Zorian LogicVision, Inc. Embedded Quality for Test Yervant Zorian LogicVision, Inc. Electronics Industry Achieved Successful Penetration in Diverse Domains Electronics Industry (cont( cont) Met User Quality Requirements satisfying

More information

Challenges and Opportunities for Design Innovations in Nanometer Technologies

Challenges and Opportunities for Design Innovations in Nanometer Technologies SRC Design Sciences Concept Paper Challenges and Opportunities for Design Innovations in Nanometer Technologies Jason Cong Computer Science Department University of California, Los Angeles, CA 90095 (E.mail:

More information

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions 04/15/14 1 Introduction: Low Power Technology Process Hardware Architecture Software Multi VTH Low-power circuits Parallelism

More information

ECE 486/586. Computer Architecture. Lecture # 2

ECE 486/586. Computer Architecture. Lecture # 2 ECE 486/586 Computer Architecture Lecture # 2 Spring 2015 Portland State University Recap of Last Lecture Old view of computer architecture: Instruction Set Architecture (ISA) design Real computer architecture:

More information

technology Leadership

technology Leadership technology Leadership MARK BOHR INTEL SENIOR FELLOW, TECHNOLOGY AND MANUFACTURING GROUP DIRECTOR, PROCESS ARCHITECTURE AND INTEGRATION SEPTEMBER 19, 2017 Legal Disclaimer DISCLOSURES China Tech and Manufacturing

More information

CAD Technology of the SX-9

CAD Technology of the SX-9 KONNO Yoshihiro, IKAWA Yasuhiro, SAWANO Tomoki KANAMARU Keisuke, ONO Koki, KUMAZAKI Masahito Abstract This paper outlines the design techniques and CAD technology used with the SX-9. The LSI and package

More information

EE586 VLSI Design. Partha Pande School of EECS Washington State University

EE586 VLSI Design. Partha Pande School of EECS Washington State University EE586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 1 (Introduction) Why is designing digital ICs different today than it was before? Will it change in

More information

Power IC 용 ESD 보호기술. 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea

Power IC 용 ESD 보호기술. 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea Power IC 용 ESD 보호기술 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea yskoo@dankook.ac.kr 031-8005-3625 Outline Introduction Basic Concept of ESD Protection Circuit ESD Technology Issue

More information

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow Moore s Law: Alive and Well Mark Bohr Intel Senior Fellow Intel Scaling Trend 10 10000 1 1000 Micron 0.1 100 nm 0.01 22 nm 14 nm 10 nm 10 0.001 1 1970 1980 1990 2000 2010 2020 2030 Intel Scaling Trend

More information

Introduction to ICs and Transistor Fundamentals

Introduction to ICs and Transistor Fundamentals Introduction to ICs and Transistor Fundamentals A Brief History 1958: First integrated circuit Flip-flop using two transistors Built by Jack Kilby at Texas Instruments 2003 Intel Pentium 4 mprocessor (55

More information

Metodologie di progetto HW Il test di circuiti digitali

Metodologie di progetto HW Il test di circuiti digitali Metodologie di progetto HW Il test di circuiti digitali Introduzione Versione del 9/4/8 Metodologie di progetto HW Il test di circuiti digitali Introduction VLSI Realization Process Customer s need Determine

More information

Research Challenges for FPGAs

Research Challenges for FPGAs Research Challenges for FPGAs Vaughn Betz CAD Scalability Recent FPGA Capacity Growth Logic Eleme ents (Thousands) 400 350 300 250 200 150 100 50 0 MCNC Benchmarks 250 nm FLEX 10KE Logic: 34X Memory Bits:

More information

Next-generation Power Aware CDC Verification What have we learned?

Next-generation Power Aware CDC Verification What have we learned? Next-generation Power Aware CDC Verification What have we learned? Kurt Takara, Mentor Graphics, kurt_takara@mentor.com Chris Kwok, Mentor Graphics, chris_kwok@mentor.com Naman Jain, Mentor Graphics, naman_jain@mentor.com

More information

Beyond Moore. Beyond Programmable Logic.

Beyond Moore. Beyond Programmable Logic. Beyond Moore Beyond Programmable Logic Steve Trimberger Xilinx Research FPL 30 August 2012 Beyond Moore Beyond Programmable Logic Agenda What is happening in semiconductor technology? Moore s Law More

More information

Vdd Programmable and Variation Tolerant FPGA Circuits and Architectures

Vdd Programmable and Variation Tolerant FPGA Circuits and Architectures Vdd Programmable and Variation Tolerant FPGA Circuits and Architectures Prof. Lei He EE Department, UCLA LHE@ee.ucla.edu Partially supported by NSF. Pathway to Power Efficiency and Variation Tolerance

More information

An Interconnect-Centric Design Flow for Nanometer Technologies

An Interconnect-Centric Design Flow for Nanometer Technologies An Interconnect-Centric Design Flow for Nanometer Technologies Jason Cong UCLA Computer Science Department Email: cong@cs.ucla.edu Tel: 310-206-2775 URL: http://cadlab.cs.ucla.edu/~cong Exponential Device

More information

Digital Design Methodology (Revisited) Design Methodology: Big Picture

Digital Design Methodology (Revisited) Design Methodology: Big Picture Digital Design Methodology (Revisited) Design Methodology Design Specification Verification Synthesis Technology Options Full Custom VLSI Standard Cell ASIC FPGA CS 150 Fall 2005 - Lec #25 Design Methodology

More information

ASIC, Customer-Owned Tooling, and Processor Design

ASIC, Customer-Owned Tooling, and Processor Design ASIC, Customer-Owned Tooling, and Processor Design Design Style Myths That Lead EDA Astray Nancy Nettleton Manager, VLSI ASIC Device Engineering April 2000 Design Style Myths COT is a design style that

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

AMS DESIGN METHODOLOGY

AMS DESIGN METHODOLOGY OVER VIEW CADENCE ANALOG/ MIXED-SIGNAL DESIGN METHODOLOGY The Cadence Analog/Mixed-Signal (AMS) Design Methodology employs advanced Cadence Virtuoso custom design technologies and leverages silicon-accurate

More information

ELE 455/555 Computer System Engineering. Section 1 Review and Foundations Class 3 Technology

ELE 455/555 Computer System Engineering. Section 1 Review and Foundations Class 3 Technology ELE 455/555 Computer System Engineering Section 1 Review and Foundations Class 3 MOSFETs MOSFET Terminology Metal Oxide Semiconductor Field Effect Transistor 4 terminal device Source, Gate, Drain, Body

More information

Synopsys Design Platform

Synopsys Design Platform Synopsys Design Platform Silicon Proven for FDSOI Swami Venkat, Senior Director, Marketing, Design Group September 26, 2017 2017 Synopsys, Inc. 1 Synopsys: Silicon to Software Software Application security

More information

Beyond Soft IP Quality to Predictable Soft IP Reuse TSMC 2013 Open Innovation Platform Presented at Ecosystem Forum, 2013

Beyond Soft IP Quality to Predictable Soft IP Reuse TSMC 2013 Open Innovation Platform Presented at Ecosystem Forum, 2013 Beyond Soft IP Quality to Predictable Soft IP Reuse TSMC 2013 Open Innovation Platform Presented at Ecosystem Forum, 2013 Agenda Soft IP Quality Establishing a Baseline With TSMC Soft IP Quality What We

More information

FPGA Power Management and Modeling Techniques

FPGA Power Management and Modeling Techniques FPGA Power Management and Modeling Techniques WP-01044-2.0 White Paper This white paper discusses the major challenges associated with accurately predicting power consumption in FPGAs, namely, obtaining

More information

TSBCD025 High Voltage 0.25 mm BCDMOS

TSBCD025 High Voltage 0.25 mm BCDMOS TSBCD025 High Voltage 0.25 mm BCDMOS TSI Semiconductors' 0.25 mm process is a feature rich platform with best in class CMOS, LDMOS, and BiPolar devices. The BCD technology enables logic, Mixed-Signal,

More information

International Journal of Advanced Research in Electrical, Electronics and Instrumentation Engineering

International Journal of Advanced Research in Electrical, Electronics and Instrumentation Engineering IP-SRAM ARCHITECTURE AT DEEP SUBMICRON CMOS TECHNOLOGY A LOW POWER DESIGN D. Harihara Santosh 1, Lagudu Ramesh Naidu 2 Assistant professor, Dept. of ECE, MVGR College of Engineering, Andhra Pradesh, India

More information

Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology

Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology Vol. 3, Issue. 3, May.-June. 2013 pp-1475-1481 ISSN: 2249-6645 Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology Bikash Khandal,

More information

Digital Design Methodology

Digital Design Methodology Digital Design Methodology Prof. Soo-Ik Chae Digital System Designs and Practices Using Verilog HDL and FPGAs @ 2008, John Wiley 1-1 Digital Design Methodology (Added) Design Methodology Design Specification

More information

Brief Introduction of Cell-based Design. Ching-Da Chan CIC/DSD

Brief Introduction of Cell-based Design. Ching-Da Chan CIC/DSD Brief Introduction of Cell-based Design Ching-Da Chan CIC/DSD 1 Design Abstraction Levels SYSTEM MODULE + GATE CIRCUIT S n+ G DEVICE n+ D 2 Full Custom V.S Cell based Design Full custom design Better patent

More information

WHITE PAPER PARASITIC EXTRACTION FOR DEEP SUBMICRON AND ULTRA-DEEP SUBMICRON DESIGNS

WHITE PAPER PARASITIC EXTRACTION FOR DEEP SUBMICRON AND ULTRA-DEEP SUBMICRON DESIGNS WHITE PAPER PARASITIC EXTRACTION FOR DEEP SUBMICRON AND ULTRA-DEEP SUBMICRON DESIGNS TABLE OF CONTENTS Introduction.................................................................................. 1 Design

More information

Design Methodologies

Design Methodologies Design Methodologies 1981 1983 1985 1987 1989 1991 1993 1995 1997 1999 2001 2003 2005 2007 2009 Complexity Productivity (K) Trans./Staff - Mo. Productivity Trends Logic Transistor per Chip (M) 10,000 0.1

More information

Deep Sub-Micron Cache Design

Deep Sub-Micron Cache Design Cache Design Challenges in Deep Sub-Micron Process Technologies L2 COE Carl Dietz May 25, 2007 Deep Sub-Micron Cache Design Agenda Bitcell Design Array Design SOI Considerations Surviving in the corporate

More information

Mixed-Signal. From ICs to Systems. Mixed-Signal solutions from Aeroflex Colorado Springs. Standard products. Custom ASICs. Mixed-Signal modules

Mixed-Signal. From ICs to Systems. Mixed-Signal solutions from Aeroflex Colorado Springs. Standard products. Custom ASICs. Mixed-Signal modules A passion for performance. Mixed-Signal solutions from Aeroflex Colorado Springs Standard products Custom ASICs Mixed-Signal modules Circuit card assemblies Mixed-Signal From ICs to Systems RadHard ASICs

More information

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation Datasheet Create a Better Starting Point for Faster Physical Implementation Overview Continuing the trend of delivering innovative synthesis technology, Design Compiler Graphical streamlines the flow for

More information

Metodologie di progetto HW Il test di circuiti digitali

Metodologie di progetto HW Il test di circuiti digitali Metodologie di progetto HW Il test di circuiti digitali Introduzione Versione del 9/4/8 Metodologie di progetto HW Il test di circuiti digitali Introduction Pag. 2 VLSI Realization Process Customer s need

More information

EE434 ASIC & Digital Systems Testing

EE434 ASIC & Digital Systems Testing EE434 ASIC & Digital Systems Testing Spring 2015 Dae Hyun Kim daehyun@eecs.wsu.edu 1 Introduction VLSI realization process Verification and test Ideal and real tests Costs of testing Roles of testing A

More information

Huh? Lecture 01 Introduction to CSE You can learn about good routes to run if you!re visiting Chicago...

Huh? Lecture 01 Introduction to CSE You can learn about good routes to run if you!re visiting Chicago... 1 Huh? 2 All of the following are magazines that are regularly delivered to the Niemier household. Lecture 01 Introduction to CSE 30321 3 4 You can learn about good routes to run if you!re visiting Chicago...

More information

Eliminating Routing Congestion Issues with Logic Synthesis

Eliminating Routing Congestion Issues with Logic Synthesis Eliminating Routing Congestion Issues with Logic Synthesis By Mike Clarke, Diego Hammerschlag, Matt Rardon, and Ankush Sood Routing congestion, which results when too many routes need to go through an

More information

Abbas El Gamal. Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program. Stanford University

Abbas El Gamal. Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program. Stanford University Abbas El Gamal Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program Stanford University Chip stacking Vertical interconnect density < 20/mm Wafer Stacking

More information

10. Interconnects in CMOS Technology

10. Interconnects in CMOS Technology 10. Interconnects in CMOS Technology 1 10. Interconnects in CMOS Technology Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 October

More information