UltraZed -EV Carrier Card Hardware User Guide Version 1.0

Size: px
Start display at page:

Download "UltraZed -EV Carrier Card Hardware User Guide Version 1.0"

Transcription

1 UltraZed -EV Carrier Card Hardware User Guide Version 1.0 Page 1 Copyright 2017 Avnet, Inc. AVNET, Reach Further, and the AV logo are registered trademarks of Avnet, Inc. All other brands are the property of their respective owners. LIT# UG-AES-ZU7EV-CC-G-V1

2 Document Control Document Version: 1.0 Document Date: 12/15/2017 Document Author(s): Document Classification: Document Distribution: Paul Blaschka Public Public Prior Version History Version Date Comment /15/2017 Initial Release Page 2

3 Contents 1 Introduction UltraZed-EV Carrier Card List of Features: Carrier Card Block Diagram Additional Documentation Functional Description JX Micro Connectors JX1 Connector JX2 Connector JX3 Connector PS USB 2.0/3.0 Interface J USB 2.0 Interface Device, Host or OTG mode select jumpers JP21, JP22, JP USB 3.0 Interface J PS RJ45 Connector J PS Display Port x1 interface P PS SATA 3.0 Host Interface J PS PMOD Header JPS PS Micro USB Connector (Dual USB-UART Ports) J PS microsd Card Connector J PS User LED D PL User Switches Slide DIP & Push Buttons SW1-SW PL User LEDs D17:D PL PMOD Headers JA1 & JA2 PL-PMODs PCIe Gen2 x1 Root Port J HDMI Input & Output interfaces J9 & J PL 3G-SDI Transmit & Receive Interfaces J11-J PL Dual SFP+ Interfaces P3 & P PL FMC HPC Slot Interface JX Carrier Card I2C Interface Clock Generators Clock 1 and Clock Clock Generator 1 U3, IDT 5P49V5935B539LTGI Clock Configuration connectors JP1, JP16, JP Clock Generator 2 U6, IDT 8T49N NLGI Clock Input GTH REFCLKs via SMAs J1 to J MAC ID Device - U7, 24AA025E48-I/OT Page 3

4 2.24 SOM Reset input SW Carrier Card Reset input from SOM JTAG Topology SMT2, PC4, FMC USB-JTAG Module, SMT2, U PC4 JTAG Header, J FMC2 JTAG Interface JX LVDS Touch Panel Interface P LEDs Power Status D14, D15, D26-D Fan Header JP Unused PL I/O Carrier Card Power Power In connector J Power Switch SW Power Input dedicated rails Power Monitor interfaces: SYSMON (J21) and VMON J19, J Power Supply monitor header J19, J PMBus Interface J Carrier Card Power Supplies Power Control Infineon IRPS38063MTRPBF PMIC U18, 0x1B Infineon IRPS38063MTRPBF PMIC U19, 0x1C Infineon IRPS5401MTRPBF PMIC U21, 0x Power supply net ties IRPS5401 PMIC Feedback resistors U IRPS5401 PMIC Power Sense signals U Infineon IRPS5401MTRPBF PMIC U22, 0x1A IRPS5401 PMIC Feedback resistors U IRPS5401 PMIC Power Sense signals U Display Port Regulator U PS VBATT LR44 Battery JP13 & BTH PS VBATT placement Power Supply Sequencing and Power Modes PCB Information PCB Characteristics PCB Electrical Characteristics: PCB Stack Up UltraZed-EV Carrier Card Mechanical Page 4

5 1 Introduction The UltraZed-EV Carrier Card (CC) is a development board designed to be used by customers for rapid proof-of-concept and prototype development efforts using the Avnet UltraZed-EV System On Module (SOM) module(s). Leveraging the Carrier Card and SOM solution greatly reduces time to market and hardware risk by increasing target application development time on a proven reliable hardware platform. Further, the design files for the carrier card are made readably available to customers, allowing a direct design copy of what is proven to work. This carrier card provides all of the necessary SOM power, clock, reset control and SoC I/O pin accessibility through the JX1, JX2, and JX3 MicroHeaders and also includes multiple industry standard interfaces. The carrier card also provides two debug interfaces - SMT2 (Micro USB) and PC-4 JTAG header. The SMT2 interface requires a micro USB cable. The PC-4 requires a debug module such as the Digilent HS3 dongle. Both interfaces are provided to assist with SOM application development. This document details the specific features, operation and configuration of the UltraZed-EV CC board. Please visit For the latest product information. The CC board features are listed below. 1.1 UltraZed-EV Carrier Card List of Features: The UltraZed-EV Carrier card provides the following features and interfaces. Please refer to the associated section of this document for further information. Supports the following UltraZed-EV SOM types: XCZU7EV-1FBVB900 XCZU7EG-1FBVB900 SOM Connections: 2 high density 200 pin JX connectors (JX1, JX2) 1 high density 120 pin JX connector (JX3) Industry I/O Interfaces: 1 SATA 3.0 Host interface 1 Display Port connector 1 USB 2.0/3.0 Host & OTG micro AB connector 1 10/100/1000 Mb/s RJ45 connector 1 Dual USB-UART using Micro USB connector 1 LVDS Touch Panel interface 2 SMA User Clock inputs 2 PL PMOD headers (single ended) 1 PS PMOD header (single ended)1 HDMI In Interface 1 FMC HPC connector 1 HDMI In Interface 1 HDMI Out Interface 1 3G-SDI SMPTE BNC interface (3 BNC connectors) 2 SFP+ Interfaces 1 microsd card connector Page 5

6 User switches, pushbuttons and LEDs: 8 position PL user DIP (slide) switches 4 PL user push button switches 8 PL user LEDs 1 PS user LED 1 PS VBATT battery socket 1 PS VBATT header (0.1 header) 1 SOM reset switch Debug & Configuration: Digilent SMT2 USB-JTAG module PC-4 JTAG header 2 PMIC Voltage Monitor headers provide easy DMM probe access to each voltage rail 1 PMBus header Various interface LED indicators displaying fault, link, speed and power PG_Module LED PG_MODULE LED +VIN_HDR LED SOM Reset LED USB OTG, Host/Device mode jumpers 11 Power Supply LEDs indicate if each board rail is powered up Various interface LED indicators displaying fault, link, speed and power Write protect jumper on microsd card interface PS GTR clock synthesizer has jumper selectable start-up configuration 1 Power Enable 0.1 pad set (to turn on PMIC s without a SOM attached) 5 Ground Test Points 1 SOM +5V fan connector I2C interfaces: I2C programmable differential clock generator I2C MAC address memory device I2C PMBUS for programmable PMICs Page 6

7 Power: +VIN 11.6V up to 12.2V via six pin power connector NOTE: This is a new, high power 120 Watt connection Power slide switch High power high side MOSFET switch with capacitor adjustable turn on time 2 High power Infineon single channel I2C programmable IR38063 PMICs 2 high power Infineon 5 Channel I2C programmable IRPS5401 PMICs 2 dual row 8 pin voltage monitor header sockets for board power measurements Remote rail sensing of SOM. PMICs voltage adjusts according to SOM loading Isolated Vin to +3.3V regulator +VIN connections to SOM via JX connectors PMBus header accesses all PMICs on one I2C bus PL SYSMon header PS VBATT battery connection with diode steering protection LR-44 Battery slot, external jack or on board 1.8V power Dual 100 mil header with over voltage protection for user voltage input Page 7

8 1.2 Carrier Card Block Diagram Below is a high level block diagram of the UltraZed-EV Carrier Card. Figure 1 UltraZed-EV Carrier Card Block Diagram Page 8

9 Glossary Term CC MIO PL PMIC POR PS SMPS SOM SoC Net Ties (NTs) Definition UltraZed-EV Carrier Card. The SOM attaches to this carrier card (board) for user evaluation. The CC features proven high speed interfaces to the SOM as well as the necessary power, control and clocks required for the SOM to operate. Multiplexed Input Output the dedicated I/O available on the PS Programmable Logic programmable fabric of the SoC. Power Management Integrated Circuit voltage regulator used to create required board voltages. Pre-programmed by Avnet. The user may re-program via using the CC I2C PMBus. Power On Reset reset asserted during power on event. Keeps board in reset until PMIC s output is stabilized. Processing System the SoC s processor cores Application Processing Unit (Quad core ARM Cortex-A53) and Real-Time Processing Unit (Dual core ARM Cortex-R5). Switch Mode Power Supply switching power supply used to provide high current rails to the board. May be separate from the PMIC or may be the PMIC, depending application needs. System On Module a SoC IC placed on a PCB allowing easy access to the SoC IC s interface, power and control signals. Includes the necessary memory (RAM, storage), power and connectors to operate as a pluggable processing module. System On Chip Xilinx Zynq UltraScale+ IC. Net Ties (NTs) are used throughout the Carrier Card and are placed to allow non-port naming of signals. This is done to facilitate routing or to clarify the signal function and is not required for production designs by the customer. Table 1: Glossary Additional Documentation Additional information and documentation on Avnet s UltraZed product line can be found at Additional information and documentation on Xilinx s Zynq UltraScale+ All Programmable Heterogenous MPSoCs can be found at Page 9

10 2 Functional Description The following chapter details all of the CC interfaces, their configuration and their functional attributes. This carrier card provides 26 PS MIO pins and 180 user PL I/O pins (72 differential pairs and 36 single-ended) organized as follows: 26 PS MIO bank 501, MIO[26:51] 48 PL HD (High Density) I/O pins (2 banks) 104 PL HP (High Performance) I/O pins (2 banks) 2.1 JX Micro Connectors The UltraZed-EV Carrier Card has 3 Samtec SEAF8 series JX connectors to gain access to the UltraZed-EV SOM I/O pins. The JX connectors provide the following signals including power/ground pins (signal directions are with respect to the UltraZed-EV SOM). Please refer to the Ultrazed-EV master JX pinout guide: JX1 Connector High density 200-pin Socket, Samtec part number: SEAF S-04-2-K JTAG pins (JTAG_TMS, JTAG_TCK, JTAG_TDI, and JTAG_TDO) SYSMON pins (SYSMON_V_P, SYSMON_V_N, SYSMON_DX_P, and SYSMON_DX_N) 48 differential HP I/O pairs (HP_DP) 8 single ended signals (HP_SE) SOM_RESET_IN_N input CC_RESET_OUT_N output SOM_PG_OUT output PMBus signals (PMBus_DATA, PMBus_CLK, and PMBus_ALERT_N) Power and ground pins (VCCO_HP_64, VCCO_HP_65, VCCO_HD_47, VCCO_HD_48, VIN and GND) JX2 Connector High density 200-pin Socket, Samtec part number: SEAF S-04-2-K 48 single ended IO/24 differential input signals (HD_SE) 16 GTH transceivers (GTH[0:15]) 8 GTH reference clock inputs (GTH_REFCLK[0:7]) Power and ground pins (MGTAVCC, MGTAVTT, MGTVCCAUX, and GND) JX3 Connector High density 120-pin Socket, Samtec part number: SEAF S-04-2-K PS GTR[0:3], PS GTR_REFCLK[0:3] PS MIO bank 501 pins (MIO[26:51]) USB 2.0 connector interface (USB_OTG_P, USB_OTG_N, USB_ID, USB_OTG_VBUS, and USB_OTG_CPEN) Gigabit Ethernet connector interface (ETH_MD[1:4]_P, ETHMD[1:4]_N, and ETH_PHY_LED[0:1]) Carrier Card I2C interface (CC_SDA, CC_SCL, and CC_INT_N) PS_VBATT input Power and ground pins (MGTRAVCC, MGTRAVTT, VCCO_PSIO_501, and GND) Voltage sense feedback output pins (MGTAVCC_Sense, MGTAVTT_Sense, MGTVCCAUX_Sense, MGTRAVCC_Sense, MGTRAVTT_Sense, VCCO_HP_64_Sense, VCCO_HP_65_Sense, VCCO_HD_47_Sense, and VCCO_HD_48_Sense) Page 10

11 2.2 PS USB 2.0/3.0 Interface J17 The UltraZed-EV Carrier Card s USB 2.0/3.0 interface is implemented with a Kycon KMMX-AB10- SMT1SB30TR Micro AB connector capable of supporting OTG, Device and Host modes. The UltraZed-EV SOM contains the USB 2.0 ULPI PHY interface (USB3320 IC) for USB 2.0. PS GTR[2] is used to implement the USB 3.0 interface to the same connector USB 2.0 Interface a. The USB 2.0 interface is connected via the SOM s ULPI PHY IC. b. The interface differential impedance is 90 Ω (+/- 5%), Single Ended is 45 Ω (+/- 5%) c. Power: 5V, 500mA. d. CPEN is used to enable the ACPI/USB compliant Microchip MIC2544_1YMM high side switch IC. When CPEN is logic high, enabled, the +5.0V rail is passed through to the +USB_VB rail for the USB connector. e. When CPEN is low the power switch is disabled. f. R102 is used to set the current limit of the MIC2544 part. The value of 191 ohms is used to set the current to 1.23 Amps. g. When in Host or OTG mode, FB8 and FB9 along with two capacitors are used to provide filtered power to the USB connector J12. h. D42 ESD suppression array protects the USB_OTG_P/N signals. i. LED D40 is used to indicate a current limit or thermal shutdown event with the MIC2544 IC. The output is open-drain and asserted low when a flag event has occurred which causes D24 to turn on. The output is not latched and therefore clears once the event ends thereby extinguishing D Device, Host or OTG mode select jumpers JP21, JP22, JP23 a. J22 selects how the USB_ID net is connected. Pin 1 to 2 allows the USB device to connect to the SOM, pin 2 to 3 forces the pin to +3.3V. No populate allows the pin to float. When floating the interface is set to Device mode but once connected the operation can be reversed via the Host Negotiation Protocol (HNP). By default the jumper is not populated. Figure 2 JP22 USB_ID select jumper b. JP12 is used to select the power for +USB_VB. c. JP21 used to select the pullup resistor for the USB_OTG_VBUS net going to the SOM. d. JP23 used to select the appropriate +USB_VB bus capacitance for the operating mode. e. The mode jumper positions are listed below. Default positions are USB in Host Mode. CC Signal Name: JX3 Pin #: USB_ID D17 Table 2: USB Mode Select jumpers Page 11

12 Jumper Number: Jumper Position: Mode select: JP12 On Host or OTG (default) JP12 Off Device JP21 Pin 1 2 Device or OTG JP21 Pin 2 3 Host (default) JP23 Pin 1 2 Device or Host (default) JP23 Pin 2 3 OTG Table 3: USB Mode Select jumpers Figure 3 USB Device, OTG and Host select, JP12 & JP23 Figure 4 USB Device, OTG and Host select, JP21 Page 12

13 2.2.3 USB 3.0 Interface J17 CC Signal Name: JX3 Pin #: USB_OTG_VBUS USB_OTG_CPEN C17 C16 Table 4: USB Mode Select jumpers The USB 3.0 interface has the following specifications: a) Data transfer rate up to 5 Gb/s (625 MB/s) and is referred to as USB Super Speed. This portion of the interface is directly connected to the Zynq PS via PS GTR[2] and J17, the integrated USB micro-ab connector. b) Power capability is up to 5V for non-data (charging) power delivery. c) Power capability up to 900mA during data transfer. d) Zdiff is 100Ω (+/- 5%). e) 52 MHz GTR_REFCLK2_P/N clock derived from IDT 5P49V5935LTGI programmable clock generator. f) GTR_TX2_P/N signals have 0.1uF AC coupling capacitors. g) D41 ESD suppression array used on GTR_TX/RX_P/N signals. Figure 5 USB 2.0/3.0 Port Interface Page 13

14 2.3 PS RJ45 Connector J8 CC Signal Name: JX3 Pin #: USB_OTG_N USB_OTG_P GTR_TX2_N GTR_TX2_P GTR_RX2_N GTR_RX2_P D15 D14 B3 B2 A5 A4 Table 5: USB 3.0 connections The UltraZed-EV Carrier Card utilizes an RJ45 connector with integrated magnetics. The UltraZed- EV s RGMII SOM Gigabit Ethernet PHY interface along with the Carrier Card RJ45 connector are used to implement a single Gigabit Ethernet port as shown below. The current choice of connector is a BEL FUSE L829-1J1T-43 RJ45. The MDI impedance is 50 Ω single ended, +/- 5% and is referenced to the positive plane (See TI AN1263) if termination resistors are used at the SOM. The maximum distance of the total trace run do not exceed 6 from SOM PHY to RJ-45. The trace lengths are matched within 10 mils pair to pair and no more than 100 mils overall between the entire group of pairs. Two LEDs to indicate speed and activity status: o ETH_PHY_LED0: Green Speed o ETH_PHY_LED1: Yellow Activity Figure 6 Gigabit Ethernet Port Page 14

15 Figure 7 Gigabit Ethernet Port CC Signal Name: JX3 Pin #: ETH_MD1_P ETH_MD1_N ETH_MD2_P ETH_MD2_N ETH_MD3_P ETH_MD3_N ETH_MD4_P ETH_MD4_N ETH_PHY_LED0 ETH_PHY_LED1 2.4 PS Display Port x1 interface P1 B14 B15 A15 A16 B17 B18 A18 A19 C20 C18 Table 6: Gigabit Ethernet Port pin mapping The UltraZed-EV Carrier Card has a single Display Port output connected to the PS GTR[3] TX port. The Display Port interface also uses an auxiliary interface (DPAUX) which is implemented on the Carrier Card using the PS MIO[27:30] signals (these are pre-defined MIO pins for the DPAUX when the display port is used). Page 15

16 Figure 8 Display Port x1 interface Figure 9 Display Port DPAUX Generator including Z match and AC coupling Page 16

17 Figure 10 Display Port 3.3V power supply Figure 11 Display Port GTR TX ESD protection CC Signal Name: JX3 Pin #: GTR_TX3_P D2 GTR_TX3_N D3 MIO_27 C22 MIO_28 D22 MIO_29 C23 MIO_30 D23 Table 7: Display Port connections Page 17

18 2.5 PS SATA 3.0 Host Interface J6 The UltraZed-EV Carrier Card s SATA 3 host interface uses the SoC s PS GTR[1] transceiver. The interface includes AC coupling capacitors with appropriate PCB GSSG cut-outs and a maximum of 2 layer transitions per signal with no blind or buried vias. This topology is used to minimize impedance mismatches thereby improving signal integrity. Interface impedance: 100 ohms, +/- 15% Data rates up to 6 Gb/s Figure 12 SATA Port interface CC Signal Name: JX3 Pin #: GTR_TX1_P D6 GTR_TX1_N D7 GTR_RX1_P C8 GTR_RX1_N C9 Table 8: SATA Port connections Page 18

19 2.6 PS PMOD Header JPS1 The PS PMOD header is connected to the PS MIO[36:43] pins and operated at 3.3V I/O using the VCCO_PSIO_501 rail. The PS MIO[36:43] maps to SPI, however the UltraScale+ does not create a direct map to the UART(0 or 1) nor I2C MIO pins. Table 9: PS PMOD to MIO UltrZed SOM Table mapping shows the UART and I2C connections. Routed at 50Ω single ended. The interface follows, as close as possible, the Digilent SPI and UART/I2C pinouts. See page for TRM pin mapping: Figure 13 PS PMOD interface CC Net Name: TRM SPI0 TRM I2C0 TRM I2C1 TRM UART0 TRM UART1 JX3 Connector MIO36 SCL TXD D27 MIO37 SDA RXD C28 MIO38 SCLK SCL RXD D29 MIO39 N_SS[2] SDA TXD C30 MIO40 N_SS[1] SCL TXD B20 MIO41 N_SS[0] SDA RXD A21 MIO42 MISO SCL RXD B21 MIO43 MOSI SDA TXD A22 Table 9: PS PMOD to MIO UltrZed SOM Table mapping Page 19

20 2.7 PS Micro USB Connector (Dual USB-UART Ports) J16 The dual USB UART port is via a microusb connector. The PHY interface IC is Silicon Labs CP2105 dual USB-UART device. The port has been configured for persistence, allowing the device to remain enumerated even when the Carrier Card s power is turned off. USB 2.0 compliant with royalty free drivers available for download. VDD and VIO are attached together to provide a persistent USB connection. When a host PC is attached, VBUS is used to generate VDD. No other board power is needed for the UART to be turned on. When the board is in the powered off state, no +3.3V is present so suspend LEDs D37 and D39 will not turn on until the board is powered on. Port 0 is connected to Bank 501 PS MIO[34:35], RXD, TXD and are level translated via MOSFETs. These signals are swapped on the carrier creating a null-modem connection. This allows a straight through cable connection. Port 1 is connected to Bank 501 PS MIO[32:33], TXD, RXD and are level translated via MOSFETs. These signals are swapped on the carrier creating a null-modem connection. This allows a straight through cable connection. GPIO signals or Modem control signals are not used and are brought out to a test pad for user convenience. LEDs: D37 and D39 indicate when the device is in suspend mode. By default the pins are active low during a suspend state. These pins are user programmable, and if desired, the polarity can be reversed allowing the LEDs to be on during normal operation and extinguish during suspend. Please refer to the Silicon Labs CP2105 datasheet for further information. The UART reset pin has an RC time delay from power up. This pin is also be controlled by the SOM s CC_RESET_OUT_N signal. A Vendor ID (VID) is not programmed into the OTP ROM. The device uses the default VID provided by Silicon Labs. A unique PID (Product ID) can be programmed in via the USB interface by the user. To accomplish this, a 4.7uF cap is required between NC/DCD_ECI/VPP pin and ground as shown below. Upon completion of programming, the capacitor should be removed. ESD device D38 is used on the USB D+ and D- lines for ESD protection. Impedance is 45Ω single ended control, 90Ω differential. Figure 14 PS PMOD interface Page 20

21 CC Net Name: UART Signal Name: JX3 Pin #: MIO_32 MIO_32.UART1_TXD D25 MIO_33 MIO_33.UART1_RXD C26 MIO_34 MIO_34.UART0_RXD D26 MIO_35 MIO_35.UART0_TXD C PS microsd Card Connector J7 Table 10: UART Table The PS MIO[44:51] pins are used to interface to a micro-sd card connector. The interface operates at 3.3V. Since microsd cards do not have a Write-Protect (WP) pin, the PS SD controller SD_WP signal (MIO[44]) must be pulled up to the 3.3V rail to choose Write Protect via JP2. When JP2 is not populated, SD_WP is grounded via R40 on the UltraZed-EV Carrier Card. JP2 WP Jumper used to allow Write Protect emulation for microsd cards if needed. The default position for this jumper is Not Placed, thereby disabling Write Protect function. The interface is routed at 50 ohms single ended. Figure 15 SD Card interface CC Net Name: J4 Signal Name: JX3 Pin #: MIO_44 SD_WP B22 MIO_45 SD_CD A23 MIO_46 SD_D0 B24 MIO_47 SD_D1 A25 MIO_48 SD_D2 B26 MIO_49 SD_D3 A27 MIO_50 SD_CMD B28 MIO_51 SD_CLK A29 Table 11: PS microsd card table Page 21

22 2.9 PS User LED D25 A red PS user LED is provided on the carrier. It is an active high LED and buffered with a NPN BJT. This LED is connected to the MIO[26] JX3.D21 pin and operated at 3.3V I/O. Figure 16 PS User LED 2.10 PL User Switches Slide DIP & Push Buttons SW1-SW5 The Carrier Card has 12 PL user switches. Four pushbutton switches SW1-SW4, and an 8 pin DIP slide switch, SW5. SW1 SW4 pushbutton switches are normally open when not depressed and are pulled low via RP1, a 10K resistor pack. When SW1 SW4 are depressed, they provide a 1.8V signal via 240 ohm pull-up resistors. 0.1uF capacitors are placed to minimize switch bounce noise. When slid to the ON position, SW5 outputs are pulled high to a logic level of +1.8V via a 240 ohm current limiting resistor pack. When in the OFF position (open), SW5 outputs are pulled low via a 4.7K resistor pack. Figure 17 PL User Push Button switches Page 22

23 Figure 18 PL User Slide switches CC Net Name: JX1 Pin #: HP_DP_18_P B19 HP_DP_18_N B20 HP_DP_19_P A18 HP_DP_19_N A19 HP_SE_00 B45 HP_SE_01 B46 HP_SE_02 B48 HP_SE_03 B49 HP_SE_04 A44 HP_SE_05 A45 HP_SE_06 A47 HP_SE_07 A48 Table 12: PL User Switch Table Page 23

24 2.11 PL User LEDs D17:D24 The UltraZed-EV Carrier Card provides 8 PL user LEDs. These active high LEDs are connected to a HP bank via JX1 connector and operated at 1.8V I/O. These LEDs are buffered with NPN BJTs to minimize the current sourced by the FPGA. Figure 19 PL User LEDs CC Net Name: JX1 Pin #: HP_DP_20_P D22 HP_DP_20_N D23 HP_DP_21_P C21 HP_DP_21_N C22 HP_DP_22_P B22 HP_DP_22_N B23 HP_DP_47_P A41 HP_DP_47_N A42 Table 13: PL User LEDs Page 24

25 2.12 PL PMOD Headers JA1 & JA2 PL-PMODs The UltraZed-EV Carrier Card provides 2 PL PMOD headers with dual-pmod connections. The PMOD interface operates at 3.3V. The signals reference Banks 47 and Bank 48 on the HD signals. These banks are operated at 3.3V on the carrier. These signals are routed as single-ended signals with a 50 ohms impedance. Decoupling capacitors to be placed as close as possible to the power pins. Figure 20 PL PMODs CC Net Name: JX2 Pin #: PMOD PIN # HD_SE_00_P D2 1 HD_SE_00_N D3 2 HD_SE_01_P C3 3 HD_SE_01_N C4 4 HD_SE_02_P B2 7 HD_SE_02_N B3 8 HD_SE_03_P A3 9 HD_SE_03_N A4 10 HD_SE_04_GC_P D5 1 HD_SE_04_GC_N D6 2 HD_SE_05_GC_P C6 3 HD_SE_05_GC_N C7 4 HD_SE_06_GC_P B5 7 HD_SE_06_GC_N B6 8 HD_SE_07_GC_P A6 9 HD_SE_07_GC_N A7 10 Table 14: PL PMOD pin table Page 25

26 2.13 PCIe Gen2 x1 Root Port J14 The UltraZed-EV Carrier Card uses the PS GTR[0] transceiver for the PCIe x1 Root Port interface. The GTR_REFCLK[0] of the UltraZed-EV SOM is connected to the IDT clock generator on the Carrier Card as shown in the following figure. The PCIe Root Port connector reset (PERST#) will be connected to the PS MIO[31] of the UltraZed-EV SOM JX3 connector. Refer to the programmable clock section of this document for more information. The +12V, 500mA rail is provided by the +VIN 12V power supply input. The +3.3V, 3.0A rail is provided by U19, IR38063 PMIC. GTR_TX0_P/N signals have a 0.1uF AC coupling capacitors. Clock AC coupling capacitors are placed on the SOM. The PCIe rails require: 500 ma and +3.3V at 3.0 Amps. UltraZed-EV SOM Slot JX3 GTR_TX0_P/N GTR_RX0_P/N MIO[31] GTR_REFCLK[0] Clock Generator (IDT 5P49V5935) PCIe (100MHz) PET0P/N PET0P/N PERST# PCIe x1 Root Port Connector Clock Generator (IDT 8T49N241) Q0 nq REFCLK_P REFCLK_N Figure 21 PCIe Gen2 x1 Root Port block diagram CC Net Name: JX3 Pin #: GTR_TX0_P B6 GTR_TX0_N B7 GTR_RX0_P A8 GTR_RX0_N A9 GTR_REFCLK0_P A12 GTR_REFCLK0_N A13 PERST_N C24 PCIe_WAKE_N D8 PCIe_PRSNT_N D9 Table 15: PCIe Gen2 x1 Root Port table Page 26

27 Figure 22 PCIe Gen2 x1 Root Port connector 2.14 HDMI Input & Output interfaces J9 & J10 The Carrier Card features two HDMI interfaces, HDMI_IN and HDMI_OUT. Each interface uses a vertical HDMI connector, TE Part number The HDMI output is provided on a TE Connectivity The HDMI_OUT circuit uses a SN65DP159RGZ IC for dual mode DisplayPort to transition-minimized differential signal (TMDS) re-timer functions, supporting digital video interface (DVI) 1.0 and high-definition multimedia interface (HDMI) 1.4b and 2.0 output signals. This IC also supports dual mode standard version 1.1 type 1 and type 2 through the DDC link or AUX channel. AC coupling capacitors used on each interface. U9, a TI SN65DP159RGZR TMDS to HDMI level shifter and re-timer IC is used for adaptive and fixed signal equalization and clock recovery. The device is configurable via the HDMI_CTL I2C bus and supports data rates up to 6 Gb/s per lane to support Ultra HD Ultra HD (4K x 2K / 60 Hz) 8-bits per color high-resolution video and HDTV with 16-bit color depth at 1080p (1920 x 1080 / 60 Hz). This IC can automatically configure itself as a re-driver at all data rates. U8, (HDMI_OUT circuit), a TPD12S016 HDMI Companion IC is used for I2C level shifting (including internal I2C pull-up resistors), ESD protection and 55mA current limit 5V_OUT load switch. U10, M24C64 8KB EEPROM used for HDMI EDID. U11, (HDMI_IN circuit), a TPD12S016 HDMI Companion IC is used for I2C level shifting (including internal I2C pull-up resistors), and ESD protection. The 5V_OUT load switch function is not used as this is a receiver. The HDMI RX signals are pull-up via a +3.3V, 1.5A filter array consisting of FB7, 49.9 ohm resistor and L1-L8 prior to AC coupling to the SOM. ESD diode arrays D6 & D7 used to protect HDMI_OUT interface signals. ESD diode arrays D8 & D9 used to protect HDMI_IN interface signals. Page 27

28 The below figures and table detail the interfaces. For further information, please reference Xilinx s ZCU102 User Guide, UG1182. HP I/O (2) HDMI_IN_CLKP/N JX1 TMDS Driver GTH[0:2]_TX_P/N HDMI_TX[0:2]_P/N HDMI Out Connector UltraZed-EV SOM Slot HD I/O (2) HD I/O (3) HD I/O (2) JX2 HDMI_I2C_SRC HDMI_TX_EN, HDMI_TX_CEC, HDMI_TX_HPD HDMI_I2C_CTL HD I/O (2) HD I/O (2) HD I/O HDMI_I2C_SNK HDMI_RX_CEC, HDMI_RX_HPD HDMI_RX_PWR_DET HDMI RX Power Detect HDMI In Connector GTH[0:2]_RX_P/N GTH_REFCLK[1]_P/N HDMI_RX[0:2]_P/N HDMI_RX_CLKP/N TMDS Termination GTH_REFCLK[0]_P/N HDMI_TX_CLKP/N IDT Clock Generator (IDT 8T49N241) Figure 23 HDMI IN/OUT block diagram Page 28

29 Figure 24 HDMI OUT re-timer IC and signals Figure 25 HDMI OUT I2C level shifting, EDID EEPROM and interface J9 Page 29

30 Figure 26 HDMI_IN I2C interface J10 SOM Net Name: CC Net Name or Function: Page 30 JX2 Pin #: HP_DP_23_P HDMI_IN_CLK_P JX1.A21 HP_DP_23_N HDMI_IN_CLK_N JX1.A22 GTH0_TX_P HDMI_TX0_P D20 GTH0_TX _N HDMI_TX0_N D21 GTH1_TX _P HDMI_TX1_P B20 GTH1_TX _N HDMI_TX1_N B21 GTH2_TX _P HDMI_TX2_P D23 GTH2_TX _N HDMI_TX2_N D24 HD_SE_17_GC_P HDMI_TX_SRC_SDA C15 HD_SE_17_GC_N HDMI_TX_SRC_SCL C16 HD_SE_13_P HDMI_TX_EN C12 HD_SE_13_N HDMI_TX_CEC C13 HD_SE_14_P HDMI_TX_HPD B11 HD_SE_18_GC_P HDMI_CTL_SDA B14 HD_SE_18_GC_N HDMI_CTL_SCL B15 HD_SE_19_GC_P HDMI_RX_SNK_SDA A15 HD_SE_19_GC_N HDMI_RX_SNK_SCL A16 HD_SE_14_N HDMI_RX_CEC_SINK B12 HD_SE_15_P HDMI_RX_HPD A12 HD_SE_15_N HDMI_PWR_DET A13 GTH0_RX _P HDMI_RX0_P C21 GTH0_RX _N HDMI_RX0_N C22 GTH1_RX _P HDMI_RX1_P A21

31 GTH1_RX _N HDMI_RX1_N A22 GTH2_RX _P HDMI_RX2_P C24 GTH2_RX _N HDMI_RX2_N C25 GTH_REFCLK1_P HDMI_RXCLK_P C27 GTH_REFCLK1_N HDMI_RXCLK_N C28 GTH_REFCLK0_P HDMI_TXCLK_P D26 GTH_REFCLK0_N HDMI_TXCLK_N D27 Table 16: HDMI Input & Output pinout table 2.15 PL 3G-SDI Transmit & Receive Interfaces J11-J13 The UltraZed-EV Carrier Card has a 3G-SDI In (receive) and Out (transmit) interface to support broadcast video as shown in the following figure. A Microchip EQCO30T5.2 is used for the cable driver (transmitter) and a Microchip EQCO30R5.D is used for the cable equalizer (receiver). Two Samtec right angle BNC connectors (Part Number BNC7T-J-P-HN-RA-BH1) are used to provide SDI video out interface. A single BNC connector is used for the SDI video input. The 3G-SDI interface requires specific reference clock input requirements. The GTH reference clock input (250 MHz, 3.3V, LVDS) for the SDI interface is provided by channel 2 of U6, the IDT 8TN49N programmable clock generator. UltraZed-EV SOM Slot GTH[3]_TX_P/N JX2 GTH[3]_RX_P/N SDI_P/N SDO_P/N Cable Driver Microchip EQCO30T5.2 Cable Equalizer Microchip EQCO30R5.D SDO_P/N SDI_P/N SDI Out BNC Connector SDI In BNC Connector Figure 27 3G-SDI interface block diagram 250 MHz, 3.3V, LVDS GTH reference clock input 2x 75 ohm GTH[3]_TX_P/N to BNCs for SDI TX. 75 ohm to ground, not trace to trace. AC coupled and has a 25 ohm drive resistor on each line. 1x 75 ohm GTH[3]_RX_P/N from BNCs for SDI RX. 75 ohm to ground, not trace to trace. AC coupled. GTH pin routing is 100 ohm differential per the Microchip datasheets. Zynq has an internal 100 ohm termination resistor. Page 31

32 Figure 28 3G-SDI Out (transmit) interface Figure 29 3G-SDI In (receive) interface Page 32

33 Figure 30 3G-SDI OUT mode select jumpers Jumper Number: Jumper Position: Mode select: JP3 On - default Enable transmit capable JP3 Off Disable transmit disabled JP4 On SD Operation JP4 Off - default HD/3G operation Table 17: 3G-SDI OUT Mode Select jumpers CC Net Name: JX2 Pin #: GTH3_TX_P GTH3_TX_N GTH3_RX_P GTH3_RX_N B23 B24 A23 A25 Table 18: 3G-SDI pinout table 2.16 PL Dual SFP+ Interfaces P3 & P4 The UltraZed-EV Carrier Card has two small form factor pluggable (SFP+) 2x2 quad connector interfaces as shown in the following figure. These SFP+ interfaces can accept SFP and SFP+ modules and can be used to implement 1G/10G Ethernet as well as a host of other high-speed interfaces. Rate select jumper plugs: JP5 & JP7 for SFP+ 1 interface. Rate select jumper plugs: JP8 & JP10 for SFP+ 2 interface. TX Laser enable/disable jumpers: JP6 (SFP+ 1) & JP9 (SFP+ 2) D10 & D11 provide a loss of signal (LOS) indicator. Each LED is silkscreend with LINK next to it. When the LED is on, the LOS signal is low, indicating there is a valid link. When off there is not a link which indicates a received optical power below receiver sensitivity. Page 33

34 UltraZed-EV SOM Slot JX2 GTH[4] HD I/O HD I/O HD I/O (2) GTH[5] HD I/O HD I/O HD I/O (2) SFP1_TX_P/N, SFP1_RX_P/N TX_DISABLE_1 RX_LOS_1 I2C1 SFP2_TX_P/N, SFP2_RX_P/N TX_DISABLE_2 RX_LOS_2 I2C2 SFP+ Connector SFP+ Connector Figure 31 Dual SFP+ block diagram Figure 32 SFP+ 1 interface Figure 33 SFP+ 2 interface Page 34

35 Figure 34 SFP+ rate select jumpers Figure 35 SFP+ Laser (transmit) select jumpers Jumper Number: Signal Name: Jumper Position: Mode Selected: JP5 Rate1 Select 0 (RX) On or 4.25 Gb/s JP5 Rate1 Select 0 (RX) Off - default 8.5 Gb/s JP7 Rate1 Select 1 (TX) On or 4.25 Gb/s JP7 Rate1 Select 1 (TX) Off - default 8.5 Gb/s JP6 On default TX Laser enabled (on) JP6 Off TX Laser disabled (off) JP8 Rate2 Select 0 (RX) On or 4.25 Gb/s JP8 Rate2 Select 0 (RX) Off - default 8.5 Gb/s JP10 Rate2 Select 1 (TX) On or 4.25 Gb/s JP10 Rate2 Select 1 (TX) Off - default 8.5 Gb/s JP9 On default TX Laser enabled (on) JP9 Off TX Laser disabled (off) Table 19: SFP+ Mode Select jumpers Page 35

36 SOM Net Name: CC Net Name/Function: GTH4_TX_P GTH4_TX_P B26 GTH4_TX_N GTH4_TX_N B27 GTH4_RX_P GTH4_RX_P A27 GTH4_RX_N GTH4_RX_N A28 HD_SE_09_P SFP1_TX_DIS C9 HD_SE_09_N LOS1 C10 HD_SE_10_P SFP1_SDA B8 HD_SE_10_N SFP1_SCL B9 GTH5_TX_P GTH5_TX_P D29 GTH5_TX_N GTH5_TX_N D30 GTH5_RX_P GTH5_RX_P C30 GTH5_RX_N GTH5_RX_N C31 HD_SE_11_P SFP2_TX_DIS A9 HD_SE_11_N LOS2 A10 HD_SE_12_P SFP2_SDA D11 HD_SE_12_N SFP2_SCL D12 Table 20: SFP+ 1 & 2 pinout table JX2 Pin #: 2.17 PL FMC HPC Slot Interface JX4 The UltraZed-EV Carrier Card provides an FMC High Pin Count (HPC) slot. The FMC signals are connected to the UltraZed-EV SOM JX1/JX2 connectors as shown in the following figures. The signal grouping and bank connections are chosen to allow the most compatibility with off the shelf FMCs in the market. The power to the pins are as follows: 12V at 1A, 3.3V at 3A, and 1.8V (Fixed VADJ) at 4A. JP18, JP19 Global Address Select jumpers allow the user to change the interface s address. The default address is 0x00. D12 LED turns on when PG_C2M is high, indicating Power Good from Carrier to Mezzanine. JP11 jumpers VIO_B_M2C signal, JX4I.J39 to +1.8V when connected. By default this jumper is not placed. Page 36

37 JX1 HP_DP_12_GC_P/N HP_DP_13_GC_P/N HP_DP_[00:11]_P/N HP_DP_[15:17]_P/N HP_DP_32_GC_P/N HP_DP_33_GC_P/N HP_DP_[24:31]_P/N HP_DP_[35:41]_P/N HP_DP_14_GC_P/N HP_DP_34_GC_P/N LA00_P/N_CC LA01_P/N_CC LA[02:13]_P/N LA[14:16]_P/N LA17_P/N_CC LA18_P/N_CC LA[19:26]_P/N LA[27:33]_P/N CLK[0]_M2C_P/N CLK[1]_M2C_P/N FMC HPC Connector JX2 GTH[8:15]_TX_P/N GTH[8:15]_RX_P/N GTH_REFCLK[4]_P/N GTH_REFCLK[6]_P/N UltraZed-EV SOM Slot NC GA[0:1] JTAG 1.8V 3.3V 12V PG_C2M 3.3V DP[0:7]_C2M_P/N DP[0:7]_M2C_P/N GBTCLK0_M2C_P/N GBTCLK1_M2C_P/N VREF_A_M2C GA[0:1] JTAG Interface VADJ 3P3V, 3P3VAUX 12P0V PG_C2M PG_M2C RES1 JX2 HD I/O (2) HD I/O HD I/O SDA, SCL PRSTN_M2C_L TRST_L Figure 36 FMC HPC block diagram Figure 37 FMC HPC JX4A JX4D Page 37

38 Figure 38 FMC HPC JX4E, F, I Page 38

39 Figure 39 FMC HPC JX4J, G, H Figure 40 FMC HPC Pullups, GA Select, LED Page 39

40 SOM Net Name: CC Net Name/Function: JX2 Pin #: HP_DP_00_P FMC_LA_02_P D5 HP_DP_00_N FMC_LA_02_N D6 HP_DP_01_P FMC_LA_03_P C4 HP_DP_01_N FMC_LA_03_N C5 HP_DP_02_P FMC_LA_04_P B5 HP_DP_02_N FMC_LA_04_N B6 HP_DP_03_P FMC_LA_05_P A4 HP_DP_03_N FMC_LA_05_N A5 HP_DP_04_P FMC_LA_06_P D8 HP_DP_04_N FMC_LA_06_N D9 HP_DP_05_P FMC_LA_07_P C7 HP_DP_05_N FMC_LA_07_N C8 HP_DP_06_P FMC_LA_08_P B8 HP_DP_06_N FMC_LA_08_N B9 HP_DP_07_P FMC_LA_09_P A7 HP_DP_07_N FMC_LA_09_N A8 HP_DP_08_P FMC_LA_10_P D11 HP_DP_08_N FMC_LA_10_N D12 HP_DP_09_P FMC_LA_11_P C10 HP_DP_09_N FMC_LA_11_N C11 HP_DP_10_P FMC_LA_12_P B11 HP_DP_10_N FMC_LA_12_N B12 HP_DP_11_P FMC_LA_13_P A10 HP_DP_11_N FMC_LA_13_N A11 HP_DP_GC_15_N FMC_LA_14_N A14 HP_DP_GC_15_P FMC_LA_14_P A15 HP_DP_16_N FMC_LA_15_N D19 HP_DP_16_P FMC_LA_15_P D20 HP_DP_17_N FMC_LA_16_N C18 HP_DP_17_P FMC_LA_16_P C19 HP_DP_12_GC_P FMC_LA_00_P D15 HP_DP_12_GC_N FMC_LA_00_N D16 HP_DP_13_GC_P FMC_LA_01_P C14 HP_DP_13_GC_N FMC_LA_01_N C15 HP_DP_32_GC_P FMC_LA_17_P_CC D32 HP_DP_32_GC_N FMC_LA_17_N_CC D33 HP_DP_33_GC_P FMC_LA_18_P_CC C31 HP_DP_33_GC_N FMC_LA_18_N_CC C32 HP_DP_24_P FMC_LA_19_P D25 HP_DP_24_N FMC_LA_19_N D26 HP_DP_25_P FMC_LA_20_P C24 HP_DP_25_N FMC_LA_20_N C25 Page 40

41 HP_DP_26_P FMC_LA_21_P B25 HP_DP_26_N FMC_LA_21_N B26 HP_DP_27_P FMC_LA_22_P A24 HP_DP_27_N FMC_LA_22_N A25 HP_DP_28_P FMC_LA_23_P D28 HP_DP_28_N FMC_LA_23_N D29 HP_DP_29_P FMC_LA_24_P C27 HP_DP_29_N FMC_LA_24_N C28 HP_DP_30_P FMC_LA_25_P B28 HP_DP_30_N FMC_LA_25_N B29 HP_DP_31_P FMC_LA_26_P A27 HP_DP_31_N FMC_LA_26_N A28 HP_DP_35_P FMC_LA_27_P A31 HP_DP_35_N FMC_LA_27_N A32 HP_DP_36_P FMC_LA_28_P D36 HP_DP_36_N FMC_LA_28_N D37 HP_DP_37_P FMC_LA_29_P C35 HP_DP_37_N FMC_LA_29_N C36 HP_DP_38_P FMC_LA_30_P B36 HP_DP_38_N FMC_LA_30_N B37 HP_DP_39_P FMC_LA_31_P A35 HP_DP_39_N FMC_LA_31_N A36 HP_DP_40_P FMC_LA_32_P D39 HP_DP_40_N FMC_LA_32_N D40 HP_DP_41_P FMC_LA_33_P C38 HP_DP_41_N FMC_LA_33_N C39 HP_DP_14_GC_P FMC_CLK0_M2C_P B15 HP_DP_14_GC_N FMC_CLK0_M2C_N B16 HP_DP_34_GC_P FMC_CLK1_M2C_P B32 HP_DP_34_GC_N FMC_CLK1_M2C_N B33 ~ ~ ~ JX2 ~ ~ ~ JX2: GTH8_TX_P FMC_TXDP0_C2M_P D35 GTH8_TX_N FMC_TXDP0_C2M_N D36 GTH9_TX_P FMC_TXDP1_C2M_P B35 GTH9_TX_N FMC_TXDP1_C2M_N B36 GTH10_TX_P FMC_TXDP2_C2M_P D38 GTH10_TX_N FMC_TXDP2_C2M_N D39 GTH11_TX_P FMC_TXDP3_C2M_P B38 GTH11_TX_N FMC_TXDP3_C2M_N B39 GTH12_TX_P FMC_TXDP4_C2M_P B41 GTH12_TX_N FMC_TXDP4_C2M_N B41 GTH13_TX_P FMC_TXDP5_C2M_P D44 GTH13_TX_N FMC_TXDP5_C2M_N D45 Page 41

42 2.18 Carrier Card I2C Interface GTH14_TX_P FMC_TXDP6_C2M_P B44 GTH14_TX_N FMC_TXDP6_C2M_N B45 GTH15_TX_P FMC_TXDP7_C2M_P D47 GTH15_TX_N FMC_TXDP7_C2M_N D48 GTH8_RX_P FMC_RXDP0_M2C_P C36 GTH8_RX_N FMC_RXDP0_M2C_N C37 GTH9_RX_P FMC_RXDP1_M2C_P A36 GTH9_RX_N FMC_RXDP1_M2C_N A37 GTH10_RX_P FMC_RXDP2_M2C_P C39 GTH10_RX_N FMC_RXDP2_M2C_N C40 GTH11_RX_P FMC_RXDP3_M2C_P A39 GTH11_RX_N FMC_RXDP3_M2C_N A40 GTH12_RX_P FMC_RXDP4_M2C_P A42 GTH12_RX_N FMC_RXDP4_M2C_N A43 GTH13_RX_P FMC_RXDP5_M2C_P C45 GTH13_RX_N FMC_RXDP5_M2C_N C46 GTH14_RX_P FMC_RXDP6_M2C_P A45 GTH14_RX_N FMC_RXDP6_M2C_N A46 GTH15_RX_P FMC_RXDP7_M2C_P C48 GTH15_RX_N FMC_RXDP7_M2C_N C49 GTH_REFCLK4_P FMC_GBTCLK0_M2C_P D41 GTH_REFCLK4_N FMC_GBTCLK0_M2C_N D42 GTH_REFCLK6_P FMC_GBTCLK1_M2C_P B47 GTH_REFCLK6_N FMC_GBTCLK1_M2C_N B48 HD_SE_22_P FMC_SCL B17 HD_SE_22_N FMC_SDA B18 HD_SE_23_P FMC_PRSTN_M2C_L A18 HD_SE_23_N FMC_TRST_L A19 Table 21: FMC HPC pinout table The UltraZed-EV SOM provides a master I2C bus (CC_SDA, CC_SCL, and CC_INT) to the Carrier Card via the JX3 connector to allow software to communicate with all I2C devices on the UltraZed- EV SOM as well as the clock and MAC I2C slave devices on the Carrier Card using a single I2C interface. The CC_SDA, CC_SCL, and CC_INT pins are pulled up to the 1.8V rail via 1K ohm resistors R46 & R47 on the UltraZed-EV Carrier Card. The CC_INT signal is not used on the carrier and is pulled up via R49 to +1.8V and a test point (TP2) is attached to it for development purposes. Carrier Card Master I2C interface devices: U3, IDT 5P49V5935 clock generator, Clock 1, address 0xD4 primary or 0xD0 (alternate). U6, IDT 8T49N clock generator, Clock 2, address 0xD8 U5, IDT 8T49N clock 2 configuration EEPROM (24AA025T-I/OT), address 0xA4 U7, MAC Address device - 24AA025E48-I/OT, address 0xA2 Page 42

43 Figure 41 I2C CC_INT_N signal and TP2 SOM Net Name: CC Net Name/Function: CC_SDA CC_SDA C1 CC_SCL CC_SCL A1 CC_INT CC_INT D19 JX3 Pin #: Table 22: Carrier Card master I2C bus connections 2.19 Clock Generators Clock 1 and Clock 2. The Carrier Card has 2 clock generators to provide the SOM with all necessary interface clocks onboard. Clock 1 is an IDT 5P49V5935B539LTGI and is an Avnet custom programmed part (available for order via the Avnet website). Clock 2 is an IDT 8T49N and is configured via the on-board EEPROM (U5). Both clocks are on the master I2C bus and can be accessed by the user for configuration purposes. The following sections provide further detail on each clock. IDT 8T49N241 Q3 (LVDS) HDMI_TX_CLK HDMI_RX_CLK (From HDMI In) GTH_REFCLK[0] GTH_REFCLK[1] Q2 (LVDS) SDI_CLK GTH_REFCLK[2] OSCI OSCO MHz User Clock SMA Connectors FMC_GBTCLK0 GTH_REFCLK[3] JX2 GTH_REFCLK[4] Q1 (LVDS) GTH_REFCLK[5] FMC_GBTCLK1 GTH_REFCLK[6] Q0 (HCSL) 100MHz PCIe x1 Root Port Connector User Clock SMA Connectors GTH_REFCLK[7] Microchip I2C EEPROM (2Kb) (24AA025T-I/OT) UltraZed-EV SOM Slot SDA/SCL CC_SDA/SCL IDT 5P49V5935 JX3 SDA/SCL OUT1 (LVDS) OUT2 (LVDS) OUT3 (LVDS) OUT4 (LVDS) PCIe (100MHz) SATA (125MHz) USB3.0 (52MHz) DisplayPort (27MHz) GTR_REFCLK[0] GTR_REFCLK[1] GTR_REFCLK[2] GTR_REFCLK[3] Figure 42 UltraZed-EV Carrier Card clock diagram Page 43

44 2.20 Clock Generator 1 U3, IDT 5P49V5935B539LTGI The Carrier Card has a pre-programmed IDT 5P49V5935B539LTGI synthesizer on board. This clock IC generates the necessary LVDS clocks for the interfaces listed below. This part contains an internal 25 MHz crystal clock source which eliminates the need for an external clock. The part is capable of providing multiple clock frequencies, output types, spread spectrum, phase shift control and slew rate control. The IDT 5P49V5935B539LTGI is a custom part number with the output frequencies pre-programmed into the device via an OTP register. The device runs at 1.8V. In the default configuration (Mode 0), the clock generates the following frequencies on power up. Default U3 configuration: Default Address: 0xD4. Alternate address: 0xD0 Mode 0 default 1.8V power Spread Spectrum: off Reference clock: 25 MHz internal Phase Shift: 0 degrees Output type: LVDS Slew Rate: set to 1.0x Default (Mode 0) output frequencies: Output 0 Used for configuration only. Output 1 100MHz, PCIe, JX3 GTR_REFCLK[0] Output 2 125MHz, SATA, JX3 GTR_REFCLK[1] Output 3 52 MHz, USB 3.0, JX3 GTR_REFCLK[2] Output 4 27MHz, Display Port, JX3 GTR_REFCLK[3] The IDT 5P49V5935B539LTGI is a custom part number with the output frequencies preprogrammed into the device via an OTP register. Figure 43 U3, Clock 1, IDT 5P49V5935B539LTGI GTR Clock Synthesizer Page 44

45 SOM Net Name: Interface Function: JX3 Pin #: GTR_REFCLK0_P PCIe 100 MHz A12 GTR_REFCLK0_N PCIe 100 MHz A13 GTR_REFCLK1_P SATA 125 MHz C12 GTR_REFCLK1_N SATA 125 MHz C13 GTR_REFCLK2_P USB 3.0, 52 MHz B10 GTR_REFCLK2_N USB 3.0, 52 MHz B11 GTR_REFCLK3_P DPORT, 27 MHz D10 GTR_REFCLK3_N DPORT, 27 MHz D11 Table 23: U3 Clock 1 output connections Clock Configuration connectors JP1, JP16, JP17 U3 clock has two methods of configuration: hardware and software. For hardware operation it is configured via JP1, JP16, and JP17. These jumpers select one of four pre-programmed OTP configuration files during power up. See Table 24: U3 Clock 1 boot configuration table for the other jumper configurable frequencies. To configure using software, the device must be accessed via the I2C bus and configured each time the board is powered on. Mode 0 is the default frequency selection when the board is manufactured. Mode 0 jumper positions are as follows: JP1, JP16 and JP17 not placed. The IDT device has weak internal pull-down resistors on these pins. I2C software configuration mode: the user can configure the IDT part using the I2C bus. To configure the IDT part for different frequencies, JP16, JP17 jumpers must be placed at positions 2 3 and jumper JP1 removed. Figure 44 U3 Clock Synthesizer configuration jumpers Page 45

46 Parameter: Configuration 0: Configuration 1: Configuration 2: Configuration 3: Units: Input (Default Config) MHz Output MHz Output MHz Output MHz Output MHz Output MHz Table 24: U3 Clock 1 boot configuration table NOTE: Although, the IDT 5P49V5935B539LTGI device is shipped with the above pre-programmed frequencies (in on-chip OTP Flash), jumpers JP16, JP17 and JP1 can be used to hardware configure the device as in the above table. Additionally, the Carrier Card s master I2C interface (CC_SDA and CC_SCL) can be used to reconfigure the device with different frequencies, if needed Clock Generator 2 U6, IDT 8T49N NLGI The IDT 8T49N241 device is chosen for its flexibility and jitter specifications. This device meets the GTH reference clock input jitter requirements and its internal fractional dividers allow just about any frequency to be specified for a given output independent of the other outputs. This helps in meeting the clock input requirements for the HDMI, 3G-SDI, and PCIe interfaces. The reads its configuration data from an external EEPROM, U5, Microchip 24AA025T-I/OT. Default U6 configuration: U6 default address is 0xD8, configurable through JT1 and JT2 Reads configuration memory at address 0xA4 Device s Vccore and Vanalog at 2.5V. VCCCS at 1.8V to allow I2C master bus compliance Default output level set to 3.3V. Reference clock: 25 MHz internal Phase Shift: 0 degrees Output type: Multiple Slew Rate: set to 1.0x Default output frequencies: Output MHz, HCSL, 3.3V, PCIe_REFCLK to PCIe port connector J14 with 33 ohm series termination resistors. Output MHz, LVDS, 3.3V, AUX/Loopback, JX2 GTH_REFCLK[5] Output MHz, LVDS, 3.3V, 3G-SDI, JX2 GTH_REFCLK[2] Output MHz, LVDS, 3.3V, HDMI, JX2 GTH_REFCLK[0] Page 46

47 Figure 45 U6 Clock 2 Synthesizer circuit SOM Net Name: CC Net Name or Function: JX2 Pin #: N/A PCIe 100 MHz N/A N/A PCIe 100 MHz N/A GTH_REFCLK5_P GTH_REFCLK5_P C42 GTH_REFCLK5_N GTH_REFCLK5_N C43 GTH_REFCLK2_P GTH_REFCLK2_P B32 GTH_REFCLK2_N GTH_REFCLK2_N B33 GTH_REFCLK0_P GTH_REFCLK0_P D26 GTH_REFCLK0_N GTH_REFCLK0_N D27 Table 25: U6 Clock 2 output connections Note: The 8T49N241 Q1 can be used for testing the FMC GTH transceivers in loopback mode. The Q1 along with the User SMA clock connections as shown provide maximum flexibility in a single clock source driving multiple GTH quads. Page 47

48 Figure 46 Clock 2 configuration EEPROM and Jumpers U5 s address can be user configured via JT3 and JT4 (0402 SMT resistor pads). Default resistor positions are: JT3 1-2 (EE_A1 1.8V) and JT4 to 1-2 (EE_A0 low). The default address is set to 0xA2. U6 s address can be user configured via JT1 and JT2 (0402 SMT resistor pads). Default resistor positions are: JT1 1-2 (CLK_A0 low) and JT2 1-2 (CLK_A1 low). The default address is set to 0xD8. Figure 47 Clock 2 Test Header Clock 2 has a non-fitted 100 mil pitch, 2x6 12 pin connector to allow user s access to the device for development purposes. Page 48

49 2.22 Clock Input GTH REFCLKs via SMAs J1 to J4 A pair of Molex SMA Connectors, Part number: , are placed to allow user clock inputs to the SOM. These clocks attach to the GTH_REFCLK[3] and GTH_REFCLK[7]. SMA Pair 1: JX2, GTH_REFCLK[3] SMA Pair 2: JX3, GTH_REFCLK[7] Figure 48 User SMA Clock connectors 2.23 MAC ID Device - U7, 24AA025E48-I/OT The UltraZed-EV Carrier Card has a 1.8V MicroChip 24AA025E48T-I/OT I2C MAC Address device utilizing EUI-48. This device is connected to the master I2C bus on the Carrier Card. The address can be configured via JT5 and JT6 (0402 SMT resistor pads). The default position is 4.7K ohm resistors placed at locations 2 3, yielding a default address set of 0xA2. Figure 49 User SMA Clock connectors Page 49

50 2.24 SOM Reset input SW6 The UltraZed-EV Carrier Card has a push switch to generate the active low SOM_RESET_IN_N signal. This signal can also be driven by the PC4 JTAG Header (JTAG_INIT) pin and the GPIO2 port on the SMT2 module through zero ohm resistors. This signal can be used to reset the MPSoC device as well as all other devices on the UltraZed-EV SOM via the JX1 connector. The SOM_RESET_IN_N is an active low signal and when not active is pulled up to +1.8V on the SOM. Figure 50 SOM Reset Push button, SW6 SOM Net Name: CC Net Name: SOM_RESET_IN_N SOM_RESET_IN_N A2 Table 26: SOM Reset pin connection 2.25 Carrier Card Reset input from SOM JX1 Pin #: The UltraZed-EV SOM provides an active low reset signal to the Carrier Card (CC_RESET_OUT_N) via JX1 connector. The signal is driven from an open drain circuit on the SOM and therefore does not require voltage isolation. This signal resets U6, the IDT GTH Clock and also turns on a red LED indicator. This signal is pulled up to the carrier s VCCCS 1.8V rail using a 10K value resistor. Figure 51 D16, SOM RESET input LED indicator SOM Net Name: CC Net Name: JX1 Pin #: CC_RESET_OUT_N CC_RESET_OUT_N C46 Page 50

51 Table 27: Carrier Card reset input connection 2.26 JTAG Topology SMT2, PC4, FMC The UltraZed-EV Carrier Card has a multi-point JTAG bus. The board has a SMT2 USB module, a PC4 header and the FMC interface that are all chained together on the carrier card. Further, a jumper and translator is designed in to allow JTAG FMC access. The FMC JTAG is operated at +3.3V, while the Carrier Card s JTAG is at 1.8V, thereby the FMC JTAG connection has a signal level translator. When using the SMT2 module, the interface only requires a micro USB cable. No other dongles or adapters are needed. However, to support all development environments, including those with debuggers and dongles, a PC4 2x7 header has also been provided. 1.8V 1.8V 3.3V Vref PC4 Header K 1.8V Vdd Vref 8 11 Digilent JTAG-SMT2 Module musb Connector TDO TDI TMS TCK SOM_RESET_IN_N 2 TDI TMS TCK TDO UltraZed-EV SOM Slot TMS TCK 1.8V to 3.3V Voltage Translators TDI TMS TCK TDO FMC HPC Slot Figure 52 Carrier Card JTAG Topology Page 51

52 USB-JTAG Module, SMT2, U14 The UltraZed-EV Carrier Card has Digilent USB-JTAG module placed for the UltraZed-EV SOM PL configuration, QSPI Flash and emmc Flash programming as well as PS software debugging. The UltraZed-EV SOM will have access to the JTAG pins via the UltraZed-EV Carrier Card JX1 connector. Figure 53 SMT2 USB-JTAG interface PC4 JTAG Header, J15 The UltraZed-EV Carrier Card will provide a PC4 JTAG header on the board so that legacy JTAG cables can be connected to the board. The PC4 header VREF pin must be connected to the 1.8V rail on the UltraZed-EV Carrier Card FMC2 JTAG Interface JX4 Figure 54 PC4 JTAG interface The UltraZed-EV Carrier Card also contains a FMC JTAG interface. This interface is connected as shown below. Please refer to Figure 52 Carrier Card JTAG Topology. The below is the 3.3V to 1.8V level translator placed on the data lines. Page 52

53 Figure 55 FMC JTAG interface translator SOM Net Name: CC Net Name: JX1 Pin #: JTAG_TCK JTAG_TCK D1 JTAG_TMS JTAG_TMS C1 JTAG_TDO JTAG_TDO D2 JTAT_TDI JTAG_TDI C2 Table 28: Carrier Card reset input connection 2.27 LVDS Touch Panel Interface P2 The UltraZed-EV Carrier Card will provide Avnet LVDS Touch Panel interface. For ease of use, software references and rapid development time, Avnet recommends the following 10 inch touch panel kit: Routed at 100Ω differential. Routed 50Ω single ended on control/status signals. Diode D5 is not populated by default. If the target interface s logic is 1.8V, R15 may be removed and D5 or a resistor may be placed, as necessary, to allow 1.8V reference to +VCCO_HP_65. Page 53

54 Figure 56 LVDS Touch Panel connector, P2 CC Net Name: P2 LVDS signal name: JX1 Pin #: HP_DP_42_P TP_D0_P B39 HP_DP_42_N TP_D0_N B40 HP_DP_43_P TP_D1_P A38 HP_DP_43_N TP_D1_N A39 HP_DP_44_P TP_D2_P D42 HP_DP_44_N TP_D2_N D43 HP_DP_45_P TP_D3_P C41 HP_DP_45_N TP_D3_N C42 HP_DP_46_P TP_CLK_P B42 HP_DP_46_N TP_CLK_N B43 HD_SE_21_P TP_SDA A41 HD_SE_21_N TP_SCL A42 HD_SE_20_N TP_IRQ_N A18 Table 29: LVDS Touch Panel pinout Page 54

55 2.28 LEDs Power Status D14, D15, D26-D36 Additional LEDs representing power supply status, reset status, etc will be added to the board: D15, VIN, green indicates the carrier power supply is on and within 10% nominal output. D14, PG Module, green indicates the SOM power supply is on and within 10% nominal output. 11 LEDs, green, BJT buffered, indicating each voltage rail. Figure 57 PG Module and VIN LEDs Figure 58 Power Rail LEDs Page 55

56 2.29 Fan Header JP14 The carrier card provides a dual position fan header for the SOM s cooling fan. The default configuration sources +5.0V to the SOM fan. If a 12V fan is used, FB10 and C215 need to be placed and FB11 removed. A ferrite bead and capacitor are used to minimize fan motor noise coupling to the carrier card s rails. The maximum current for the fan should not exceed 200mA Unused PL I/O Figure 59 JP14 Fan Header All unused PL I/O pins will be looped back on the UltraZed-EV Carrier Card PCB design using 0 Ohms resistors for testing. The UltraZed-EV Carrier Card has access to 16 GTH transceivers (GTH[0:15]) via UltraZed-EV SOM JX2 connector. All but GTH[6:7] are used on the UltraZed-EV Carrier Card. The GTH[6:7] TX pins are looped back to the GTH[6:7] RX pins on the Carrier Card using 0 Ohms resistors for testing. GTR_RX3_P and GTR_RX3_N are grounded. GTH6_RX_P/N tied via 0 ohm resistors to GTH6_TX_P/N GTH7_RX_P/N tied via 0 ohm resistors to GTH7_TX_P/N Figure 60 Loopback resistors Page 56

57 3 Carrier Card Power The Carrier Card s power solution is designed to provide power to all of the interfaces/peripherals on the Carrier Card as well as provide power to the appropriate interfaces on the SOM. This section is broken up to define each subsection of power for clarity and ease of design. See the specific interface sections for more detail. 3.1 Power In connector J18 Due to the number and types of interfaces the UltraZed-EV SOM and Carrier are capable of supporting, the worst case power supply need is approximately ~102 Watts. This exceeds the standard Avnet 60 Watt power supply and therefore necessitated a new power solution to be used. The new power supply is capable of sourcing 12V at 10 Amps and has been designed for the Carrier Card and SOM combination. The standard 60W power supply pinout is not compatible with this Carrier Card and will not allow the board to power on. The six pin ATX-style connector remains, but the pinout has changed to prevent the 60W power supply from being able to power the system. Pins 2 & 5 are connected instead of pins 1 & 4. Removed L1 choke (on prior boards) due to new power supply chosen. Figure 61 New power jack pinout Page 57

58 3.2 Power Switch SW8 Power Switch SW8 is a CK 1101 slide switch with a contact rating of 6 Amps. While the contact rating is not sufficient for the potential 10 Amps of current, it has been kept in the design due to it s low cost and availability. In lieu of placing a higher current switch, and to control the in-rush current and voltage ramp on the power supply, a high power Infineon BSC030P03NS3 50 Amp P-Channel MOSFET has been designed in. New high power Infineon BSC030P03NS3 50 Amp P-MOSFET as high side switch. New low cost in-rush current and voltage ramp control using the P-MOSFET and Low Pass filter consisting of R204 and C174. By default, using the shipped Avnet 120W power supply, C174 is not required to be placed. Figure 62 Prior Low Pass Filter used on UZ-EG to control power-on ramp time Figure 63 NEW UZ-EV solution to control power-on ramp time, if needed Page 58

59 3.3 Power Input dedicated rails The below rails are listed as dedicated because they are independent of the power sequencing required for the SOM. These rails provide power once the board is turned on. +VIN 12V using a new pinout method to J18. U20, +3.3V Primary regulator powers the LDO regulators on the Infineon PMICs. U1, +3.3VDP Display Port regulator, Maxim Semiconductor Max8902. U17, +USB_VB V USB switch, Microchip MIC2544-1YMM. Figure 64 U20, +3.3V Primary regulator for PMICs 3.4 Power Monitor interfaces: SYSMON (J21) and VMON J19, J20 The UltraZed-EV SOM SYSMON interface is available on the carrier card. The pins are routed to a 0.1 SIP header for access to the SYSMON interface pins. Signals are routed differentially at 100 ohms. Termination resistors R206 and R207 are placed within 100 mils of J21. The signals are routed to within 10 mils across both pairs. Figure 65 J21, SYSMON Header Page 59

60 SOM/CC Net Name: JX1 Pin #: SYSMON J21 Pin #: SYSMON_DX_N C48 1 SYSMON_DX_P C49 2 SYSMON_V_P D50 4 SYSMON_V_N D49 5 Table 30: SYSMON connection table Power Supply monitor header J19, J20 Two non-populated dual row 100 mil pitch 8 pin headers are used for measuring the carrier card s voltage rails. The below figure shows the available voltage monitor points. Figure 66 J19, J20 Voltage Monitor Headers 3.5 PMBus Interface J22 The UltraZed-EV CC and SOM s Power Management ICs can be accessed by attaching to the PMBus header, J22. This header can be used for monitoring and programming all PMBus voltage regulators on the Carrier Card and SOM. To attach to this interface the customer may use a generic I2C dongle or an Infinion USB005 dongle. NOTE: After the initial programming of all PMBus voltage regulators, the UltraZed-EV SOM can drive the PMBus in order to control/monitor the PMBus voltage regulators on the UltraZed-EV SOM as well as the Carrier Card for the purpose of power management and/or measurements. The PMBus signals are pulled up to 3.3V on the SOM. Page 60

61 SOM/CC Net Name: JX1 Pin #: PMBus_SDA B1 PMBus_SCL B2 PMBus_ALERT_N A1 Table 31: PMBUS connection table Figure 67 J22 PMIC PMBUS Header Figure 68 J22 PMBUS Offpage connections 3.6 Carrier Card Power Supplies The carrier provides power rails for the UltraZed-EV CC interfaces as well as the SOM. 4 Infineon PMICs are used to generate the required power rails. 2 Infineon IRPS5401MTRPBFs for lower current rails and and 2 Infineon IRP38063MTRPBFs for high current >4A rails. These parts are programmed with the necessary files by the Avnet programming center and are orderable. All parts are PMBus accessible and therefore user configurable. All of the IRPS5401MTRPBF s used on the CC and SOM use a single programming file and the parts are orderable under a single part number. Please discuss with your Avnet account representative to get the part number. Each of the IRPS38063 PMICs have a unique part number assigned to them based on the programming file. Please discuss with your Avnet account representative to get the specific part number. Page 61

62 The UltraZed-EV SOM provides voltage sense feedbacks for each rail supplied by the carrier card. Please refer to Xilinx s ds925 for percent variation on the following power rails when designing the power system for a custom Carrier Card. 3.7 Power Control The UltraZed-EV SOM provides a signal named SOM_PG_OUT signal (3.3V level) that is used by the Carrier Card for PMIC power sequencing. The Carrier Card voltage regulators are not turned ON until the SOM_PG_OUT signal is asserted. SOM/CC Net Name: JX1 Pin #: SOM_PG_OUT D47 Table 32: SOM_PG_OUT input to Carrier Card NOTE: In normal operation, the SOM_PG_OUT signal controls the CC s PMIC enable pins. For this reason a SOM must be placed for the carrier to power up in normal operation. In test mode, the CC board can be powered up by modifying the circuits as described below. To power up the board without a SOM, the user can populate JP15 and then place a jumper on the pins. In addition to placing the jumper, the user will have to populate the not-fitted components on each regulator output to provide feedback to the PMICs. See each PMIC section. The CC has a 49.9K weak pull up which turns on the carrier card s PMICs. While it is recommended a SOM not be placed when this modification is made, the resistor value is high enough to allow the SOM to maintain control of the CC s SOM_PG_OUT signal. Figure 69 JP15 PMIC ON test header 3.8 Infineon IRPS38063MTRPBF PMIC U18, 0x1B U18 provides a 1.8V high current rail (20 amps max) for the Carrier Card s main 1.8V power supply. The Infineon IRPS38063MTRPBF PMIC was chosen due to it s small size, high efficiency, high power and PMBus programmable features. A remote feedback sense line is used at the highest current interface on the board. This technique increases the voltage accuracy over high load conditions. The part has been Avnet programmed for this application. The IRPS38063 PMIC has been programmed as follows: Vout_command: 1.80V Vout_max: 1.949V Iout fault: 33 amps max Frequency: 607 KHz Transition rate: 0.125mV/us Page 62

63 Point of load sense via R288 Feedback Vout scale: 1.0 Turn off outputs if PMIC input voltage is less than 9.0V Turn on outputs if PMIC input voltage is equal or greater than 11.0V R215 provided for Bode plot measurements if needed. The address offset, +11, is set by R227, a 7.87K ohm resistor. The carrier card PMIC I2C address is: 0x1B The carrier card PMBus address is: 0x4B Figure 70 U18, 1.8V High Power PMIC Figure 71 U18, 1.8V High Power PMIC load sense Page 63

64 3.9 Infineon IRPS38063MTRPBF PMIC U19, 0x1C U19 provides a 3.3V high current rail (20 amps max) for the Carrier Card s main 3.3V power supply. The Infineon IRPS38063MTRPBF PMIC was chosen due to it s small size, high efficiency, high power and PMBus programmable features. A remote feedback sense line is used at the highest current interface on the board. This technique increases the voltage accuracy over high load conditions. The part has been Avnet programmed for this application. Note: the feedback scale is set to 0.5 due to the output voltage being greater than 2.5V. This is per Infineon s recommendations. The IRPS38063 PMIC has been programmed as follows: Vout_command: 3.30V Vout_max: 3.500V Iout fault: 33 amps max Frequency: 607 KHz Transition rate: 0.125mV/us Point of load sense via R288 Feedback Vout scale: 0.5 Turn off outputs if PMIC input voltage is less than 9.0V Turn on outputs if PMIC input voltage is equal or greater than 11.0V R217 provided for Bode plot measurements if needed. The address offset, +12, is set by R228, a 8.87K ohm resistor. The carrier card PMIC I2C address is: 0x1C The carrier card PMBus address is: 0x4C Page 64

65 Figure 72 U19, 3.3V High Power PMIC Figure 73 U19, 3.3V High Power PMIC load sense Page 65

66 3.10 Infineon IRPS5401MTRPBF PMIC U21, 0x19 A five channel, high current programmable PMIC is required to source the SOM with high accuracy rails. The Infineon IRPS5401MTRPBF PMIC was chosen due to it s small size, high efficiency, and programmable features. This part is on the PMBUS and is accessible via J10, the PMBus header. The part has been factory programmed with 3 selectable output profiles. One profile is used for the carrier card, while the remaining two are used for the SOM. The IRPS IRPS5401MTRPBF PMIC has been programmed as follows: Transition rate: 0.500mV/us Turn off outputs if PMIC input voltage is less than 9.0V Turn on outputs if PMIC input voltage is equal or greater than 11.0V The address offset, +9, is set by R248, a 6.19K ohm resistor. The carrier card PMIC I2C address is: 0x19 The carrier card PMBus address is: 0x49 The below table shows device 19 s IRPS IRPS5401MTRPBF rail programming: Channel Number: Programmed Voltage & Current: Iout_fault limit: Feedback Scale: Switching Frequency: SOM &/or Carrier Card Rail name(s): CHA 0.85V, 2.0A 3.313A MHz +MGTRAVCC CHB 1.80V, 2.0A 2.75A MHz +VCCO_1V8 +VCCO_HP_64 +VCCO_HP_65 CHC 3.30V, 3.0A 4.50A MHz +3.3V_HD +VCCO_HD_47 +VCCO_HD_48 +VCCO_PSIO_501 CHD 5.0V, 3.0A 4.50A MHz +5.0V LDO 1.0V, 0.3A 0.652A N/A N/A +MGTRAVTT Table 33: U21 PMIC Output rails Power supply net ties There are several rails that are tied together on the carrier card through the use of PCB net ties. PCB net ties are two separate signal planes tied to each through a piece of PCB copper. This technique is used to illustrate schematic/electrical connectivity +VCCO_1V8 VCCO_HP_64/ V_HD VCCO_HD_47/48 & VCCO_PSIO_501 NOTE: These rails are not tied together on the SOM. They are not tied together at the SOM because a user may want different I/O voltages on each bank. They are tied together on the carrier card because these are the voltages required for our specific application. Page 66

67 Figure 74 Net Ties to attach PMIC rails to VCCO rails. Figure 75 U21, 0x19 high power PMIC Page 67

68 IRPS5401 PMIC Feedback resistors U21 Feedback resistors are placed on each PMIC output channel. However, these resistors may not be placed, are labeled as DNP and are grayed out in the schematic. These parts are removed to allow SOM remote sensing to occur. If the user desires, these components can be placed for power supply evaluation, but should NOT be placed when a SOM is used. Resistors listed as DNP can be populated when testing the Carrier Card in stand alone mode. DO NOT CONNECT A SOM TO THE CARRIER when this is implemented! Figure 76 PMIC power outputs with DNP feedback components Page 68

69 IRPS5401 PMIC Power Sense signals U21 The UltraZed-EV SOM provides low current power sense signals for the below carrier rails. These feedback signals are used to adjust the output voltage for each rail. This method of feedback ensures the load (SOM) is provided stable power during dynamic power loading conditions. This method of sensing also nulls out all current and resistance drops across both boards and connectors. SOM/CC Net Name: JX3 Pin #: MGTRAVCC_SENSE D20 VCCO_HP_64_SENSE D30 VCCO_HP_65_SENSE C29 VCCO_HD_47 D24 VCCO_HD_48 D28 MGTRAVTT_SENSE C25 Table 34: SOM to U21, 0x19 power rail sense connections Figure 77 U21, 0x19 PMIC Power supply sense rail filters Page 69

70 3.11 Infineon IRPS5401MTRPBF PMIC U22, 0x1A A five channel, high current programmable PMIC is required to source the SOM with high accuracy rails. The Infineon IRPS5401MTRPBF PMIC was chosen due to it s small size, high efficiency, and programmable features. This part is on the PMBUS and is accessible via J10, the PMBus header. The part has been factory programmed with 3 selectable output profiles. One profile is used for the carrier card, while the remaining two are used for the SOM. The IRPS IRPS5401MTRPBF PMIC has been programmed as follows: Transition rate: 0.500mV/us Turn off outputs if PMIC input voltage is less than 9.0V Turn on outputs if PMIC input voltage is equal or greater than 11.0V Channel D is not used and therefore turned off. The address offset, +10, is set by R271, a 6.98K ohm resistor. The carrier card PMIC I2C address is: 0x1A The carrier card PMBus address is: 0x4A The below table shows device 1A s IRPS IRPS5401MTRPBF rail programming: Channel Number: Programmed Voltage & Current: Iout_fault limit: Feedback Scale: Switching Frequency: SOM &/or Carrier Card Rail name(s): CHA 0.90V, 2.0A 3.00A MHz +MGTAVCC CHB 1.20V, 2.5A 3.75A MHz +MGTAVTT CHC 1.10V, 2.0A 3.75A MHz +1.1V CHD N/A N/A N/A N/A N/A LDO 1.8V, 0.5A 0.720A N/A N/A +MGTVCCAUX Table 35: U22 PMIC Output rails Page 70

71 Figure 78 U22, 0x1A high power PMIC Page 71

72 IRPS5401 PMIC Feedback resistors U22 Feedback resistors are placed on each PMIC output channel. However, these resistors may not be placed, are labeled as DNP and are grayed out in the schematic. These parts are removed to allow SOM remote sensing to occur. If the user desires, these components can be placed for power supply evaluation, but should NOT be placed when a SOM is used. Resistors listed as DNP can be populated when testing the Carrier Card in stand-alone mode. DO NOT CONNECT A SOM TO THE CARRIER when this is implemented! Figure 79 U22, 0x1A PMIC power outputs with DNP feedback components Page 72

73 IRPS5401 PMIC Power Sense signals U22 The UltraZed-EV SOM provides low current power sense signals for the below carrier rails. These feedback signals are used to adjust the output voltage for each rail. This method of feedback ensures the load (SOM) is provided stable power during dynamic power loading conditions. This method of sensing also nulls out all current and resistance drops across both boards and connectors. SOM/CC Net Name: JX3 Pin #: MGTAVCC_SENSE A24 MGTAVTT_SENSE A28 1V1_SENSE N/A MGTVCCAUX_SENSE B23 Table 36: SOM to U22, 0x1A power rail sense connections Page 73

74 Figure 80 Power supply sense rail filters Page 74

75 Figure 81 PMIC Interface window via IR PowIRCenter Power Rail Name: Source, Channel: Volts, Amps: Purpose: JX Pins: +VIN Wall adapter, N/A +12V, 10.0 A Main board power All +VIN pins +3.3VDP U1 LDO, N/A +3.3V, 500 ma Display Port power N/A +3.3V PRIMARY U20 LDO, N/A +3.3V, 1.5 A PMIC core power N/A +5.0V PMIC 0x19, CHD +5.0V, 3.0 A DP LDO, HDMI IC s N/A +MGTRAVCC PMIC 0x19, CHA +0.85V, 2.0 A SOM VCCIO power JX3: A30, B29, B V_HD, +VCCO_HD47/48, +VCCO_PSIO_501 +VCCO_1V8, +VCCO_HP_64/65 PMIC 0x19, CHC +3.3V, 3.0 A Main board power, LVDS Touch Panel, Micro SD Card, SOM VCCIO power PMIC 0x19, CHB +1.8V, 2.0 A Clocks, MAC ID EEPROM, PBs, JTAGs, SOM VCCIO power Page 75 JX1: C43, C44, C45, D44, D45, D46 JX3.D18 JX1: A3, B3, B4, C3, D3, D4, +MGTRAVTT PMIC 0x19 LDO +1.8V, 0.3 A SOM VCCIO power JX3 B25, B27 +USB_VB U17, Switch +5.0V, 1.5 A USB interface N/A +PS_VBATT JP3, LR44, +VCCO_1V8 + <2.0V, < 10mA FPGA key memory JX3.C21 +MGTAVCC PMIC 0x1A, CHA +0.9V, 2.0A SOM VCCIO power JX2: C1, C2, D4, D7, D10, D13 +MGTAVTT PMIC 0x1A, CHB +1.2V, 2.5A SOM VCCIO power JX2: A1, A2, B4, B7, B13

76 +1.1V PMIC 0x1A, CHC +1.1V, 2.0A HDMI IC s N/A +MGTVCCAUX PMIC 0x1A LDO +1.8V, 0.5A SOM VCCIO power JX2: A5, A8, +1.8V PMIC 0x1B +1.8V, 20A CC interfaces N/A +3.3V PMIC 0x1C +3.3V, 20A CC interfaces N/A GND on JX1 System GND GND CC and SOM A6, A9, A12, A13, A16, A17, A20, A23, A26, A29, A30, A33, A34, A37, A40, B7, B10, B13, B14, B17, B18, B21, B24, C6, C9, C12, C13, C16, C17, C20, C23, C26, C29, C30, C33, C34, C37, C40, C47 GND on JX2 System GND GND CC and SOM A11, A14, A17, A20, A23, A26, A29, A32, A35, A38, A41, A44, A47, A50, B1, B16, B19, B22, B25, B28, B31, B34, B37, B40, B43, B46, B49, B50, C5, C8, C11, C14, C17, C20, C23, C26, C29, C32, C35, C38, C41, C44, C47, C50, D1, D16, D19, D22, D25, D28, D31, D34, D37, D40, D43, D46, D49, D50 GND on JX3 System GND GND CC and SOM A2, A3, A6, A7, A10, A11, A14, A17, A20, A26, B1, B4, B5, B8, B9, B12, B13, B16, B19, C2, C3, C4, C5, C6, C7, C10, C11, C14, C15, C19, D1, D4, D5, D8, D9, D12, D13, D16 Table 37 Carrier Card power rails 3.12 Display Port Regulator U1 The Display Port requires a high precision power supply, +3.3VDP. The Maxim Part MAX8902BATA+T creates this rail. Features include: Vout is high precision, (<1.5% Vout) & low noise (16uVRMS) 500mA source in normal operation 700mA source in short mode Auto thermal shutdown and protect POK output 2mm X 2mm package Page 76

77 LED D3 is used to indicate the regulator is on. It illuminates when the regulator is within 88% of nominal output voltage. Figure 82 U1, +3.3V Display Port regulator 3.13 PS VBATT LR44 Battery JP13 & BTH-1 The Carrier Card provides two methods of maintaining the SOM s Zynq device volatile AES decryptor keys when the carrier is turned off. A 1.5V LR44 battery or a customer connection through JP13. Either connection provides power to the SOM s +PS_VBATT signal via the JX3 pin C21 connector. When the carrier card is turned on, neither method is required as +VCCO_1V8 is diode OR d with +PS_VBATT signal. The +PS_VBATT pin is pulled up via +VCCO_1V8 & D43 when the board is powered on, no battery or external power source needed to maintain decryptor keys. Place a LR44 battery to maintain the AES decryption keys on the SOM when board is power off. Diode D43 and D45 provide back source isolation for +VCCO_1V8 & LR44 battery. In lieu of placing a LR44 battery, the user may decide to use an external power supply or battery connected via JP13. In doing so, the input voltage on JP13 MUST NOT EXCEED 2.0 volts otherwise the SOM s FPGA may be damaged. Diode D44 and resistor R205 are placed to help prevent this, but this is by no means intended to encourage a voltage higher than 2.0V to be applied! Figure 83 +PS_VBATT circuit Page 77

78 3.14 PS VBATT placement LR44 Battery installation instructions: The LR44 battery is required to be installed in a sleeve, part number BHX1-LR44 and firmly inserted into the carrier s socket. The coin cell s positive terminal is placed into the opening of the sleeve facing UP. Figure 84 Battery & sleeve orientation prior to insertion Page 78

79 Figure 85 Final battery placement. Push battery and sleeve back until it clicks. Page 79

80 3.15 Power Supply Sequencing and Power Modes Sequencing for the power supplies follows the datasheet recommendations for the Zynq UltraScale+ device. The power configuration programmed into the International Rectifier IRPS5401MTRPBF and IR3806MTRPBF devices controls the power supply sequencing. An end-user may utilize the PMBUS on the carrier-card or utilize the Zynq UltraScale+ MPSoC interface to the PMBUS to power down individual rails to implement the different power modes supported by the MPSoC. Sequencing is needed for board power up, as well as entering and exiting different power modes. There are 3 power domains, PS LP (Processing Subsystem Low Power), PS FP (Processing Subsystem Full Power) and PL (Programmable Logic Power). The PS LP domain shall come up first followed by the PS FP and PL power domains. PS LP Power Domain Sequence: DS925 (V1.8) Recommended: VCC_PSINTLP VCC_PSAUX / VCC_PSADC / VCC_PSPLL VCCO_PSIO UltraZed-EV SOM Implementation: VCC_PSINTLP VCCO_PSIO / VCC_PSPLL VCCO_PSIO_501 (From Carrier Card) PS FP Power Domain Sequence: DS925 (V1.8) Recommended: VCC_PSINTFP / VCC_PSINTFP_DDR PS_MGTRAVCC / VCC_PSDDR_PLL PS_MGTRAVTT / VCCO_PSDDR UltraZed-EV SOM Implementation: VCC_PSINTFP MGTRAVCC (From Carrier Card) / VCC_PSDDR_PLL (Generated from +3.3V on SOM) MGTAVTT (From Carrier Card) / VCCO_PSDDR4_504 PL Power Domain Sequence: DS925 (V1.8) Recommended: VCCINT VCCINT_IO / VCCBRAM / VCCINT_VCU VCCAUX / VCCAUX_IO VCCO_HD_47 / VCCO_HD_48 / VCCO_HP_64 / VCCO_HP_65 / VCCO_HP_66 / MGTAVCC / MGTAVTT / MGTVCCAUX UltraZed-EV SOM Implementation: VCCINT VCU_INT VCCAUX VCCO_HD_47 (From Carrier Card) / VCCO_HD_48 (From Carrier Card) / VCCO_HP_64 (From Carrier Card) / VCCO_HP_65 (From Carrier Card) / VCCO_HP_66 / MGTAVCC (From Carrier Card) / MGTAVTT (From Carrier Card) / MGTVCCAUX (From Carrier Card) Entering / Exiting Power Domains: To enter power down modes, the reverse order of start-up should be followed (last supply to come up should be the first to be shut down, etc.). The PL and PS FP domains can be powered down independently and either can be powered down before or after the other. When shutting down power to a domain however, sequencing must be followed in relation to the specific power domain group. Upon repowering these domains, proper sequencing should again be followed. The following diagrams are indicative of the pre-programmed power-on and power-off sequences that exist on the UltraZed-EV SOM and the UltraZed-EV Carrier Card. Page 80

81 0ms 6ms 12ms 18ms 24ms 30ms 36ms 42ms 48ms 54ms 60ms 66ms 72ms 75ms SOM_PG_OUT CARRIER GENERATES RAILS MGTRAVCC MGTRAVTT MGTAVCC MGTAVTT MGTVCCAUX VCCO_1V8 (Carrier Card Name) VCCO_HP_64 (SOM Na me) VCCO_HP_65 (SOM Na me) 3.3V_HD (Carrier Card Name) VCCO_HD_47 (SOM Na me) VCCO_HD_48 (SOM Na me) VCCO_PSIO_501 (SOM Name) 1.1V (Carrier Card Only) 5.0V (Carrier Card Only) 1.8V (Carrier Card Only) 3.3V (Carrier Card Only) Figure 86 UltraZed-EV Carrier Card Power ON sequence diagram example 0ms 6ms 12ms 18ms 24ms 30ms 36ms 42ms 48ms 54ms 60ms 66ms 72ms 75ms SOM_PG_OUT CARRIER GENERATES RAILS MGTVCCAUX MGTRAVTT 5.0V (Carrier Card Only) 1.1V (Carrier Card Only) 3.3V_HD (Carrier Card Name) VCCO_HD_47 (SOM Na me) VCCO_HD_48 (SOM Na me) VCCO_PSIO_501 (SOM Name) VCCO_1V8 (Carrier Card Name) VCCO_HP_64 (SOM Na me) VCCO_HP_65 (SOM Na me) 1.8V (Carrier Card Only) 3.3V (Carrier Card Only) MGTAVTT MGTAVCC MGTRAVCC Figure 87 UltraZed-EV Carrier Card Power OFF sequence diagram example Page 81

82 Figure 88 UltraZed-EV Carrier Card actual PMIC timing windows Page 82

83 4 PCB Information 4.1 PCB Characteristics The following PCB characteristics define the electrical and physical requirements for the UltraZed- EV SOM PCB Electrical Characteristics: The following trace, bus, copper weight and board characteristic impedances must be met. A detailed board stack up will be required prior to board layout to provide trace dimension and separation guidance. Material: FR-4 Thickness:.062 +/- 8% 12 layers Copper weight, Nominal: 0.5 oz. on signal layers and 1.0 oz. on Power/GND layers. Nominal board impedance is 50Ω for single ended signals. Differential signals 100Ω +/- 5% unless otherwise noted. Differential 75Ω +/-5% for 3G-SDI signals USB signals 45Ω single ended, 90Ω differential to the connector, with a 10% tolerance. Gigabit Ethernet signals 50 Ω single ended, 100Ω differential to the connector, with a 10% tolerance. USB 3.0 differential signals 100 Ω. Board temperature rise not to exceed 20C due to power No blind or buried VIAs were used to meet interface performance requirements PCB Stack Up The following layer stack up will be required to meet the performance objectives: 1 Signal + Primary Component Side (Top) 2 - GND 3 - Signal 4 - Signal 5 - GND 6 - PWR 7 - PWR 8 - GND 9 - Signal 10 - Signal 11 - GND 12 Signal + Secondary Component Side (Bottom) Page 83

84 Figure 89 UltraZed-EV Carrier Card fabrication layer stackup Page 84

85 5 UltraZed-EV Carrier Card Mechanical The following figure shows the UltraZed-EV Carrier Card mechanical dimensions. The Carrier Card is in a 7.0 x 8.0 form factor. Figure 90 UltraZed-EV Carrier Card Mechanical Page 85

UltraZed PCIe Carrier Card Hardware User Guide. Version 1.1

UltraZed PCIe Carrier Card Hardware User Guide. Version 1.1 UltraZed PCIe Carrier Card Hardware User Guide Version 1.1 Page 1 Copyright 2017 Avnet, Inc. AVNET, Reach Further, and the AV logo are registered trademarks of Avnet, Inc. All other brands are the property

More information

UltraZed -EV Starter Kit Getting Started Version 1.3

UltraZed -EV Starter Kit Getting Started Version 1.3 UltraZed -EV Starter Kit Getting Started Version 1.3 Page 1 Copyright 2018 Avnet, Inc. AVNET, Reach Further, and the AV logo are registered trademarks of Avnet, Inc. All other brands are the property of

More information

UltraZed-EV Carrier Card Designer s Guide Version 1.1

UltraZed-EV Carrier Card Designer s Guide Version 1.1 UltraZed-EV Carrier Card Designer s Guide Version 1.1 Page 1 Copyright 2018 Avnet, Inc. AVNET, Reach Further, and the AV logo are registered trademarks of Avnet, Inc. All other brands are the property

More information

UltraZed -EV SOM Hardware User Guide Version 1.1

UltraZed -EV SOM Hardware User Guide Version 1.1 UltraZed -EV SOM Hardware User Guide Version 1.1 Page 1 Copyright 2017 Avnet, Inc. AVNET, Reach Further, and the AV logo are registered trademarks of Avnet, Inc. All other brands are the property of their

More information

Zynq SoC and Zynq UltraScale+ MPSoC Systems Guide FROM CONCEPT TO PRODUCTION

Zynq SoC and Zynq UltraScale+ MPSoC Systems Guide FROM CONCEPT TO PRODUCTION Zynq -7000 SoC and Zynq UltraScale+ MPSoC Systems Guide FROM CONCEPT TO PRODUCTION Copyright 2018 Avnet, Inc. AVNET, Reach Further, and the Avnet logo are registered trademarks of Avnet, Inc. All other

More information

HDMI to FMC Module User Guide

HDMI to FMC Module User Guide HDMI to FMC Module User Guide Rev. 1.0.37-17 September 2015 http://www.exostivlabs.com 1 Table of Contents HMDI to FMC Module...3 Introduction...3 Features...3 Physical Dimensions...4 HDMI Connector...4

More information

DVI I/O FMC Module Hardware Guide

DVI I/O FMC Module Hardware Guide DVI I/O FMC Module Hardware Guide Table of Contents 1.0 Introduction... 3 1.1 Description... 3 1.2 Features... 3 1.3 Ordering Information... 4 1.4 References... 4 2.0 Functional Description... 5 2.1 FMC...

More information

SMT-FMC211. Quad DAC FMC. Sundance Multiprocessor Technology Limited

SMT-FMC211. Quad DAC FMC. Sundance Multiprocessor Technology Limited Sundance Multiprocessor Technology Limited Form : QCF51 Template Date : 10 November 2010 Unit / Module Description: Quad DAC FMC Unit / Module Number: Document Issue Number: 1.1 Original Issue Date: 11

More information

ConnectCore for i.mx6ul Starter Board. Hardware Reference Manual

ConnectCore for i.mx6ul Starter Board. Hardware Reference Manual ConnectCore for i.mx6ul Starter Board Hardware Reference Manual Revision history 90001520 Revision Date Description 01 October 2016 Alpha release Trademarks and copyright Digi, Digi International, and

More information

DP-8020 Hardware User Guide. UG1328 (v 1.20) December 6, 2018

DP-8020 Hardware User Guide. UG1328 (v 1.20) December 6, 2018 DP-8020 Hardware User Guide Revision History The following table shows the revision history for this document. Section General updates Revision Summary 12/06/2018 Version 1.0 Initial Xilinx release. DP-8020

More information

Pmod modules are powered by the host via the interface s power and ground pins.

Pmod modules are powered by the host via the interface s power and ground pins. 1300 Henley Court Pullman, WA 99163 509.334.6306 www.store. digilent.com Digilent Pmod Interface Specification 1.2.0 Revised October 5, 2017 1 Introduction The Digilent Pmod interface is used to connect

More information

XMC-ZU1. XMC Module Xilinx Zynq UltraScale+ MPSoC. Overview. Key Features. Typical Applications

XMC-ZU1. XMC Module Xilinx Zynq UltraScale+ MPSoC. Overview. Key Features. Typical Applications XMC-ZU1 XMC Module Xilinx Zynq UltraScale+ MPSoC Overview PanaTeQ s XMC-ZU1 is a XMC module based on the Zynq UltraScale+ MultiProcessor SoC device from Xilinx. The Zynq UltraScale+ integrates a Quad-core

More information

S2C K7 Prodigy Logic Module Series

S2C K7 Prodigy Logic Module Series S2C K7 Prodigy Logic Module Series Low-Cost Fifth Generation Rapid FPGA-based Prototyping Hardware The S2C K7 Prodigy Logic Module is equipped with one Xilinx Kintex-7 XC7K410T or XC7K325T FPGA device

More information

TE EE-S Starter Kit

TE EE-S Starter Kit TE0808-04-09-1EE-S Starter Kit Order number: TE0808-04-09-1EE-S Product information "TE0808-04-09-1EE-S Starter Kit" The Trenz Electronic Starter Kit TE0808-04-09-1EE-S consists of a TE0808-04-09EG-1EE

More information

ProtoFMC Safety Precautions Revision History

ProtoFMC Safety Precautions Revision History ProtoFMC Safety Precautions Improper use of this board has the potential to damage the carrier board it is connected to. Please read this document thoroughly before attempting to use the board. 1. This

More information

ConnectCore 6UL SBC Express. Hardware Reference Manual

ConnectCore 6UL SBC Express. Hardware Reference Manual ConnectCore 6UL SBC Express Hardware Reference Manual Revision history 90001520 Revision Date Description 01 October 2016 Alpha release A June 2017 Modified regulatory and certification information as

More information

6LoWPAN Development Platform Saker Manual

6LoWPAN Development Platform Saker Manual 6LoWPAN Development Platform Saker Manual WEPTECH elektronik GmbH Page 1 of 19 V.1.0.1 1. Table of Content 1. General information... 4 1.1 1.2 1.3 1.4 1.5 Copyright protection... 4 Warranty information...

More information

Kinetis K70 System-On-Module (SOM) Baseboard Hardware Architecture

Kinetis K70 System-On-Module (SOM) Baseboard Hardware Architecture Kinetis K70 System-On-Module (SOM) Baseboard Version 1.0 Table of Contents 1. OVERVIEW...3 2. REFERENCES...3 3. HARDWARE PLATFORM...3 3.1. OVERVIEW...3 3.2. FUNCTIONAL BLOCK DIAGRAM...4 3.3. SOM CONNECTORS...4

More information

ML623 Virtex-6 FPGA GTX Transceiver Characterization Board User Guide. UG724 (v1.1) September 15, 2010

ML623 Virtex-6 FPGA GTX Transceiver Characterization Board User Guide. UG724 (v1.1) September 15, 2010 ML623 Virtex-6 FPGA GTX Transceiver Characterization Board User Guide Xilinx is disclosing this user guide, manual, release note, and/or specification (the Documentation ) to you solely for use in the

More information

19. VITA 57.1 FMC HPC Connector

19. VITA 57.1 FMC HPC Connector Table 1-27: Switch S2 Configuration Details Switch Configuration Mode/Method Switch Net Name JTAG System ACE CF Slave SelectMAP Platform Flash XL Master BPI P30 Linear Flash S2.1 CCLK_EXTERNAL Off On Off

More information

Avnet Zynq Mini Module Plus Embedded Design

Avnet Zynq Mini Module Plus Embedded Design Avnet Zynq Mini Module Plus Embedded Design Version 1.0 May 2014 1 Introduction This document describes a Zynq standalone OS embedded design implemented and tested on the Avnet Zynq Mini Module Plus. 2

More information

xpico 200 Series Evaluation Kit User Guide

xpico 200 Series Evaluation Kit User Guide xpico 200 Series Evaluation Kit User Guide This guide describes how to setup the xpico 200 series evaluation kit and provides the information needed to evaluate the included xpico 240 or xpico 250 embedded

More information

Revision: 5/7/ E Main Suite D Pullman, WA (509) Voice and Fax. Power jack 5-9VDC. Serial Port. Parallel Port

Revision: 5/7/ E Main Suite D Pullman, WA (509) Voice and Fax. Power jack 5-9VDC. Serial Port. Parallel Port Digilent Digilab 2 Reference Manual www.digilentinc.com Revision: 5/7/02 215 E Main Suite D Pullman, WA 99163 (509) 334 6306 Voice and Fax Overview The Digilab 2 development board (the D2) features the

More information

3.3V regulator. JA H-bridge. Doc: page 1 of 7

3.3V regulator. JA H-bridge. Doc: page 1 of 7 Digilent Cerebot Board Reference Manual Revision: 11/17/2005 www.digilentinc.com 215 E Main Suite D Pullman, WA 99163 (509) 334 6306 Voice and Fax Overview The Digilent Cerebot Board is a useful tool for

More information

VLSI AppNote: VSx053 Simple DSP Board

VLSI AppNote: VSx053 Simple DSP Board : VSx053 Simple DSP Board Description This document describes the VS1053 / VS8053 Simple DPS Board and the VSx053 Simple DSP Host Board. Schematics, layouts and pinouts of both cards are included. The

More information

PicoZed SDR FMC Carrier Card AES-PZSDRCC-FMC-G Hardware User Guide Version 1.0

PicoZed SDR FMC Carrier Card AES-PZSDRCC-FMC-G Hardware User Guide Version 1.0 PicoZed SDR FMC Carrier Card AES-PZSDRCC-FMC-G Hardware User Guide Version 1.0 Page 1 Copyright 2017 Avnet, Inc. AVNET, Reach Further, and the AV logo are registered trademarks of Avnet, Inc. All other

More information

Board satisfies requiremen t? Compliance Directive

Board satisfies requiremen t? Compliance Directive 1 96s CE Physical Footprint 1.1 Area The board without population of connectors shall fit 1.1.1 Dimensions into a 85 x 54mm footprint +/-0.25mm Connectors should not protrude from the area footprint except

More information

Digilab 2E Reference Manual

Digilab 2E Reference Manual Digilent 2E System Board Reference Manual www.digilentinc.com Revision: February 8, 2005 246 East Main Pullman, WA 99163 (509) 334 6306 Voice and Fax Digilab 2E Reference Manual Overview The Digilab 2E

More information

ACQ400-MTCA-RTM-2 Functional Specification. High Performance Simultaneous Data Acquisition

ACQ400-MTCA-RTM-2 Functional Specification. High Performance Simultaneous Data Acquisition ACQ400-MTCA-RTM-2 Functional Specification High Performance Simultaneous Data Acquisition Rev 6 Page 1 of 24 Date: 14 January 2016 Document Revisions Rev Date Notes Author 1 11 Mar, 2015 Initial Release

More information

MC20902-EVB. MC20902 D-PHY 5-Channel Master Transmitter Evaluation Board User's Guide PRELIMINARY DATASHEET. Version February 2014.

MC20902-EVB. MC20902 D-PHY 5-Channel Master Transmitter Evaluation Board User's Guide PRELIMINARY DATASHEET. Version February 2014. C O N F MC20902 D-PHY 5-Channel Master Transmitter Evaluation Board User's Guide I D E N PRELIMINARY DATASHEET Version 1.00 T February 2014 I Meticom GmbH A L Meticom GmbH Page 1 of 14 Revision History

More information

Xynergy XS Motherboard Rev3 Documentation April 24, 2012

Xynergy XS Motherboard Rev3 Documentation April 24, 2012 Topic Page Overview 1 DIMM Socket 1 Power Supply 2 I/O Voltage Selection 3 I/O Connectors 3,4 I2C/SMBUS 5 RS232 5 Testing I/Os 6 SD-Card 6 USB2.0 OTG 7 Ethernet Interface 7 CAN Interfaces 8 JTAG Interfaces

More information

User Manual for SMT111

User Manual for SMT111 Sundance Multiprocessor Technology Limited User Manual Form : QCF42 Date : 11 February 2009 Unit / Module Description: Standalone Carrier Board (single-module size) Unit / Module Number: SMT111 Document

More information

QUICK START GUIDE FOR DEMONSTRATION CIRCUIT 1420A 12-PORT IEEE 802.3AT PSE INTEGRATED CONNECTOR MODULE (PSE-ICM)

QUICK START GUIDE FOR DEMONSTRATION CIRCUIT 1420A 12-PORT IEEE 802.3AT PSE INTEGRATED CONNECTOR MODULE (PSE-ICM) DESCRIPTION Demonstration circuit 1420A from Linear Technology is a convenient platform for testing and evaluation of a PSE Integrated Connector Module (PSE- ICM) that complies with the PoETec specification.

More information

FRDM-KL03Z User s Guide

FRDM-KL03Z User s Guide Freescale Semiconductor User s Guide Document Number: FRDMKL03ZUG Rev. 0, 7/2014 FRDM-KL03Z User s Guide 1 Overview The Freescale Freedom development platform is an evaluation and development tool ideal

More information

PremierWave 2050 Enterprise Wi-Fi IoT Module Evaluation Kit User Guide

PremierWave 2050 Enterprise Wi-Fi IoT Module Evaluation Kit User Guide PremierWave 2050 Enterprise Wi-Fi IoT Module Evaluation Kit User Guide Part Number 900-765-R Revision A February 2016 Intellectual Property 2016 Lantronix, Inc. All rights reserved. No part of the contents

More information

The Information contained herein is subject to change without notice. Revisions may be issued regarding changes and/or additions.

The Information contained herein is subject to change without notice. Revisions may be issued regarding changes and/or additions. Cobalt MC Gumstix, Inc. shall have no liability of any kind, express or implied, arising out of the use of the Information in this document, including direct, indirect, special or consequential damages.

More information

Digilab 2 Reference Manual

Digilab 2 Reference Manual 125 SE High Street Pullman, WA 99163 (509) 334 6306 (Voice and Fax) www.digilentinc.com PRELIMINARY Digilab 2 Reference Manual Revision: November 19, 2001 Overview The Digilab 2 (D2) development board

More information

Quick Start Guide. TWR-VF65GS10 For Vybrid Controller Solutions Based on ARM Cortex -A5 and Cortex-M4 Processors with the DS-5 Toolchain TOWER SYSTEM

Quick Start Guide. TWR-VF65GS10 For Vybrid Controller Solutions Based on ARM Cortex -A5 and Cortex-M4 Processors with the DS-5 Toolchain TOWER SYSTEM TWR-VF65GS10 For Vybrid Controller Solutions Based on ARM Cortex -A5 and Cortex-M4 Processors with the DS-5 Toolchain TOWER SYSTEM Get to Know the TWR-VF65GS10 Dual Quad SPI K20 JTAG Header UART Selection

More information

. SMARC 2.0 Compliant

. SMARC 2.0 Compliant MSC SM2S-IMX8 NXP i.mx8 ARM Cortex -A72/A53 Description The new MSC SM2S-IMX8 module offers a quantum leap in terms of computing and graphics performance. It integrates the currently most powerful i.mx8

More information

SP623 Spartan-6 FPGA GTP Transceiver Characterization Board User Guide. UG751 (v1.1) September 15, 2010

SP623 Spartan-6 FPGA GTP Transceiver Characterization Board User Guide. UG751 (v1.1) September 15, 2010 SP623 Spartan-6 FPGA GTP Transceiver Characterization Board User Guide Xilinx is disclosing this user guide, manual, release note, and/or specification (the Documentation ) to you solely for use in the

More information

Propeller Project Board USB (#32810)

Propeller Project Board USB (#32810) Web Site: www.parallax.com Forums: forums.parallax.com Sales: sales@parallax.com Technical: support@parallax.com Office: (916) 624-8333 Fax: (916) 624-8003 Sales: (888) 512-1024 Tech Support: (888) 997-8267

More information

MYD-C7Z010/20 Development Board

MYD-C7Z010/20 Development Board MYD-C7Z010/20 Development Board MYC-C7Z010/20 CPU Module as Controller Board Two 0.8mm pitch 140-pin Connectors for Board-to-Board Connections 667MHz Xilinx XC7Z010/20 Dual-core ARM Cortex-A9 Processor

More information

PEB383 (QFP) Evaluation Board User Manual

PEB383 (QFP) Evaluation Board User Manual PEB383 (QFP) Evaluation Board User Manual February 2010 6024 Silver Creek Valley Road, San Jose, California 95138 Telephone: (800) 345-7015 (408) 284-8200 FAX: (408) 284-2775 Printed in U.S.A. 2009, Inc.

More information

XMC-SDR-A. XMC Zynq MPSoC + Dual ADRV9009 module. Preliminary Information Subject To Change. Overview. Key Features. Typical Applications

XMC-SDR-A. XMC Zynq MPSoC + Dual ADRV9009 module. Preliminary Information Subject To Change. Overview. Key Features. Typical Applications Preliminary Information Subject To Change XMC-SDR-A XMC Zynq MPSoC + Dual ADRV9009 module Overview PanaTeQ s XMC-SDR-A is a XMC module based on the Zynq UltraScale+ MultiProcessor SoC device from Xilinx

More information

KSZ9692PB User Guide Brief

KSZ9692PB User Guide Brief KSZ9692PB User Guide Brief KSZ9692PB Evaluation Platform Rev 2.0 General Description The KSZ9692PB Evaluation Platform accelerates product time-to-market by providing a hardware platform for proof-of-concept,

More information

Copyright 2017 Xilinx.

Copyright 2017 Xilinx. All Programmable Automotive SoC Comparison XA Zynq UltraScale+ MPSoC ZU2/3EG, ZU4/5EV Devices XA Zynq -7000 SoC Z-7010/7020/7030 Devices Application Processor Real-Time Processor Quad-core ARM Cortex -A53

More information

Symphony SoundBite Reference Manual

Symphony SoundBite Reference Manual Symphony SoundBite Reference Manual Document Number: SNDBITERM Rev. 2.0 09/2008 Contents Section 1, Introduction page 2 Section 2, Functional Blocks page 3 Section 3, Configuration and Connections page

More information

Revision: May 11, E Main Suite D Pullman, WA (509) Voice and Fax LED. Doc: page 1 of 6

Revision: May 11, E Main Suite D Pullman, WA (509) Voice and Fax LED. Doc: page 1 of 6 Digilent XC2-XL System Board Reference Manual www.digilentinc.com Revision: May 11, 2004 215 E Main Suite D Pullman, WA 99163 (509) 334 6306 Voice and Fax Overview The Digilent XC2-XL System Board (the

More information

TUNA NVM-H2 NVRAM Emulation. Board. User Guide. Version

TUNA NVM-H2 NVRAM Emulation. Board. User Guide. Version TUNA NVM-H2 NVRAM Emulation Board User Guide Version 1.0 2014-11-19 Revision History Date Version Revision 11/19/2014 1.0 Initial Release Table of Contents Overview... 4 NVM-H2 Board Feature... 4 Board

More information

Breeze Board. Type A. User Manual.

Breeze Board. Type A. User Manual. Breeze Board Type A User Manual www.dizzy.co.za Contents Introduction... 3 Overview Top... 4 Overview Bottom... 5 Getting Started (Amicus Compiler)... 6 Power Circuitry... 7 USB... 8 Microcontroller...

More information

Application Note 1242

Application Note 1242 HFBR-5701L/5710L/5720L/5730L and HDMP-1687 Reference Design for 1.25 GBd Gigabit Ethernet and 1.0625 GBd Fiber Channel Applications Application Note 1242 Introduction Avago s objective in creating this

More information

PANDORA HACKER GUIDE

PANDORA HACKER GUIDE PANDORA HACKER GUIDE WARNING: Modifying your PCB is not covered by your warranty and any damage caused as a result will be the sole responsibility of the owner to fix or to have fixed at a fee set by the

More information

Virtex 6 FPGA Broadcast Connectivity Kit FAQ

Virtex 6 FPGA Broadcast Connectivity Kit FAQ Getting Started Virtex 6 FPGA Broadcast Connectivity Kit FAQ Q: Where can I purchase a kit? A: Once the order entry is open, you can purchase your Virtex 6 FPGA Broadcast Connectivity kit online or contact

More information

i.mx 8M EVK Board Hardware User's Guide

i.mx 8M EVK Board Hardware User's Guide NXP Semiconductors Document Number: IMX8MDQLQEVKHUG User's Guide Rev. 0, 01/2018 i.mx 8M EVK Board Hardware User's Guide 1. Introduction This document is the hardware User s Guide for the i.mx 8M Evaluation

More information

ML628 Virtex-6 FPGA GTX and GTH Transceiver Characterization Board User Guide. UG771 (v1.0.1) July 6, 2011

ML628 Virtex-6 FPGA GTX and GTH Transceiver Characterization Board User Guide. UG771 (v1.0.1) July 6, 2011 ML628 Virtex-6 FPGA GTX and GTH Transceiver Characterization Board User Guide UG771 (v1.0.1) July 6, 2011 Xilinx is disclosing this user guide, manual, release note, and/or specification (the Documentation

More information

KSZ8081RNB / KSZ8091RNB

KSZ8081RNB / KSZ8091RNB KSZ8081RNB / KSZ8091RNB 10Base-T/100Base-TX Physical Layer Transceiver Evaluation Board User s Guide Revision 1.0 / August 2012 Micrel, Inc. 2012 All rights reserved Micrel is a registered trademark of

More information

REV CHANGE DESCRIPTION NAME DATE. A Release

REV CHANGE DESCRIPTION NAME DATE. A Release REV CHANGE DESCRIPTION NAME DATE A Release 1-20-17 Any assistance, services, comments, information, or suggestions provided by Microchip (including without limitation any comments to the effect that the

More information

XMC-RFSOC-A. XMC Module Xilinx Zynq UltraScale+ RFSOC. Overview. Key Features. Typical Applications. Advanced Information Subject To Change

XMC-RFSOC-A. XMC Module Xilinx Zynq UltraScale+ RFSOC. Overview. Key Features. Typical Applications. Advanced Information Subject To Change Advanced Information Subject To Change XMC-RFSOC-A XMC Module Xilinx Zynq UltraScale+ RFSOC Overview PanaTeQ s XMC-RFSOC-A is a XMC module based on the Zynq UltraScale+ RFSoC device from Xilinx. The Zynq

More information

Interfacing EXOSTIV Probe EP Series. User Guide. Rev February 26,

Interfacing EXOSTIV Probe EP Series. User Guide. Rev February 26, Interfacing EXOSTIV Probe EP Series User Guide Rev. 2.0.3 - February 26, 2019 https://www.exostivlabs.com 1 Table of Contents Interfacing EP Series...3 Introduction...3 HDMI Connector...3 SFP/SFP+ Cages...6

More information

VPX3-ZU1. 3U OpenVPX Module Xilinx Zynq UltraScale+ MPSoC with FMC HPC Site. Overview. Key Features. Typical Applications

VPX3-ZU1. 3U OpenVPX Module Xilinx Zynq UltraScale+ MPSoC with FMC HPC Site. Overview. Key Features. Typical Applications VPX3-ZU1 3U OpenVPX Module Xilinx Zynq UltraScale+ MPSoC with FMC HPC Site Overview PanaTeQ s VPX3-ZU1 is a 3U OpenVPX module based on the Zynq UltraScale+ MultiProcessor SoC device from Xilinx. The Zynq

More information

89HPES24T3G2 Hardware Design Guide

89HPES24T3G2 Hardware Design Guide 89H Hardware Design Guide Notes Introduction This document provides system design guidelines for IDT 89H PCI Express (PCIe ) 2. base specification compliant switch device. The letters "G2" within the device

More information

eip-24/100 Embedded TCP/IP 10/100-BaseT Network Module Features Description Applications

eip-24/100 Embedded TCP/IP 10/100-BaseT Network Module Features Description Applications Embedded TCP/IP 10/100-BaseT Network Module Features 16-bit Microcontroller with Enhanced Flash program memory and static RAM data memory On board 10/100Mbps Ethernet controller, and RJ45 jack for network

More information

Zynq 7010 / 7020 SOM (System-On Module) Hardware User Guide

Zynq 7010 / 7020 SOM (System-On Module) Hardware User Guide Zynq 7010 / 7020 SOM (System-On Module) Hardware User Guide Version 1.4 2/3/2015 Table of Contents 1 INTRODUCTION... 2 2 FUNCTIONAL DESCRIPTION... 3 2.1 ALL PROGRAMMABLE SOC... 3 2.2 MEMORY... 3 2.2.1

More information

Zynq-7000 All Programmable SoC Product Overview

Zynq-7000 All Programmable SoC Product Overview Zynq-7000 All Programmable SoC Product Overview The SW, HW and IO Programmable Platform August 2012 Copyright 2012 2009 Xilinx Introducing the Zynq -7000 All Programmable SoC Breakthrough Processing Platform

More information

DP-8020 Hardware User Guide v1.10. DP-8020 Hardware User Guide V1.10

DP-8020 Hardware User Guide v1.10. DP-8020 Hardware User Guide V1.10 DP-8020 Hardware User Guide V1.10 DeePhi Proprietary Copyright Deephi Technoogies Co., Ltd Copyright 2018 DeePhi Tech, Inc. A rights reserved. No part of this pubication may be reproduced or transmitted

More information

USB-to-I2C. Ultra Hardware User s Manual.

USB-to-I2C. Ultra Hardware User s Manual. USB-to-I2C Ultra Hardware User s Manual https://www.i2ctools.com/ Information provided in this document is solely for use with the USB-to-I2C Ultra product from SB Solutions, Inc. SB Solutions, Inc. reserves

More information

Universität Dortmund. IO and Peripheral Interfaces

Universität Dortmund. IO and Peripheral Interfaces IO and Peripheral Interfaces Microcontroller System Architecture Each MCU (micro-controller unit) is characterized by: Microprocessor 8,16,32 bit architecture Usually simple in-order microarchitecture,

More information

REV CHANGE DESCRIPTION NAME DATE. A Release

REV CHANGE DESCRIPTION NAME DATE. A Release REV CHANGE DESCRIPTION NAME DATE A Release 11-07-12 Any assistance, services, comments, information, or suggestions provided by SMSC (including without limitation any comments to the effect that the Company

More information

VCU110 Software Install and Board Setup October 2015

VCU110 Software Install and Board Setup October 2015 VCU110 Software Install and Board Setup October 2015 XTP380 Revision History Date Version Description 11/20/15 1.2 Modified to match VCU110 Kit hardware. 10/22/15 1.1 Added ExaMax and Interlaken setup.

More information

DEVBOARD3 DATASHEET. 10Mbits Ethernet & SD card Development Board PIC18F67J60 MICROCHIP

DEVBOARD3 DATASHEET. 10Mbits Ethernet & SD card Development Board PIC18F67J60 MICROCHIP DEVBOARD3 DATASHEET 10Mbits Ethernet & SD card PIC18F67J60 MICROCHIP Version 1.0 - March 2009 DEVBOARD3 Version 1.0 March 2009 Page 1 of 7 The DEVBOARD3 is a proto-typing board used to quickly and easily

More information

Arria V GX Video Development System

Arria V GX Video Development System Arria V GX Video Development System Like Sign Up to see what your friends like. The Arria V GX FPGA Video Development System is an ideal video processing platform for high-performance, cost-effective video

More information

PEX 8604 Hardware Design Checklist

PEX 8604 Hardware Design Checklist September 11, 2014 Revision 1.1 PEX 8604 Hardware Design Checklist Revision History Rev 1.1: On page 5, Correction in Recommendation column of STRAP_PORTCFG[1:0] for x2x2 from HH to HL and HH changed to

More information

MYC-C7Z010/20 CPU Module

MYC-C7Z010/20 CPU Module MYC-C7Z010/20 CPU Module - 667MHz Xilinx XC7Z010/20 Dual-core ARM Cortex-A9 Processor with Xilinx 7-series FPGA logic - 1GB DDR3 SDRAM (2 x 512MB, 32-bit), 4GB emmc, 32MB QSPI Flash - On-board Gigabit

More information

FMC-4SFP+ Pin Assignments. Dual- and Quad-channel SFP/SFP+ FMC Adapter FMC FPGA MEZZANINE CARD

FMC-4SFP+ Pin Assignments. Dual- and Quad-channel SFP/SFP+ FMC Adapter FMC FPGA MEZZANINE CARD FMC FPGA MEZZANINE CARD FMC-4SFP+ Dual- and Quad-channel SFP/SFP+ FMC Adapter Pin Assignments All Rights Reserved CAEN ELS d.o.o. Rev. 1.1 April 2016 CAEN ELS d.o.o. Kraška ulica, 2 6210 Sežana Slovenija

More information

Avnet S6LX16 Evaluation Board and Maxim DAC/ADC FMC Module Reference Design

Avnet S6LX16 Evaluation Board and Maxim DAC/ADC FMC Module Reference Design Avnet S6LX16 Evaluation Board and Maxim DAC/ADC FMC Module Reference Design By Nasser Poureh, Avnet Technical Marketing Manager Mohammad Qazi, Maxim Application Engineer, SP&C Version 1.0 August 2010 1

More information

Freescale Semiconductor Inc. Microcontroller Solutions Group. FRDM-KL46Z User s Manual FRDM-KL46Z-UM Rev. 1.0

Freescale Semiconductor Inc. Microcontroller Solutions Group. FRDM-KL46Z User s Manual FRDM-KL46Z-UM Rev. 1.0 Freescale Semiconductor Inc. Microcontroller Solutions Group FRDM-KL46Z User s Manual FRDM-KL46Z-UM Rev. 1.0 Table of Contents 1 FRDM-KL46Z Overview... 3 2 References documents... 3 3 Getting started...

More information

REV CHANGE DESCRIPTION NAME DATE. A Release B Increased +1.2V Capacitor Value & VDD12A Cap Requirement

REV CHANGE DESCRIPTION NAME DATE. A Release B Increased +1.2V Capacitor Value & VDD12A Cap Requirement REV CHANGE DESCRIPTION NAME DATE A Release 8-1-16 B Increased +1.2V Capacitor Value & VDD12A Cap Requirement 1-16-17 Any assistance, services, comments, information, or suggestions provided by Microchip

More information

Preliminary. PACKAGE - 28-pin MLP (5mm X 5mm) Example Circuit Diagram CP V. 48MHz Oscillator. USB Function Controller 512B EEPROM

Preliminary. PACKAGE - 28-pin MLP (5mm X 5mm) Example Circuit Diagram CP V. 48MHz Oscillator. USB Function Controller 512B EEPROM Preliminary Single-Chip USB to UART Bridge SINGLE-CHIP USB to UART DATA TRANSFER - Integrated USB Transceiver; No External Resistors Required - Integrated Clock; No External Crystal Required - Integrated

More information

RDB1768 Development Board User Manual

RDB1768 Development Board User Manual RDB1768 Development Board User Manual 6/16/2009 Rev.2 Copyright Code Red Technologies Inc. 2009 Page 1 of 18 1 OVERVIEW 3 1.1 LPC1768 Features 3 1.2 RDB1768 Evaluation Board Hardware 3 2 COMPONENTS 5 2.1

More information

i.mx 8M MINI System-On-Module (SOM) Hardware Architecture

i.mx 8M MINI System-On-Module (SOM) Hardware Architecture i.mx 8M MINI System-On-Module (SOM) Hardware Architecture Document No: IMX8M-MINI-SOM-HA Version: 1.0 Date: February 26, 2019 Table of Contents 1. INTRODUCTION... 3 2. HARDWARE PLATFORM... 3 2.1. HARDWARE

More information

MitySOM-5CSX Embedded VDK for Basler dart 10 August 2017

MitySOM-5CSX Embedded VDK for Basler dart  10 August 2017 10 August 2017 FEATURES MitySOM-5CSX Embedded Vision Development Kit for Basler dart MitySOM-5CSX Module Additional Hardware Included: Micro B USB Cable Ethernet Cable AC to DC 12V 3A Adapter Option A

More information

VPX3-ZU1. 3U OpenVPX Module Xilinx Zynq UltraScale+ MPSoC with FMC HPC Site. Overview. Key Features. Typical Applications

VPX3-ZU1. 3U OpenVPX Module Xilinx Zynq UltraScale+ MPSoC with FMC HPC Site. Overview. Key Features. Typical Applications VPX3-ZU1 3U OpenVPX Module Xilinx Zynq UltraScale+ MPSoC with FMC HPC Site Overview PanaTeQ s VPX3-ZU1 is a 3U OpenVPX module based on the Zynq UltraScale+ MultiProcessor SoC device from Xilinx. The Zynq

More information

Digilab 2 XL Reference Manual

Digilab 2 XL Reference Manual 125 SE High Street Pullman, WA 99163 (509) 334 6306 (Voice and Fax) www.digilentinc.com PRELIMINARY Digilab 2 XL Reference Manual Revision: May 7, 2002 Overview The Digilab 2 XL (D2XL) development board

More information

Various power connectors. 3.3V regulator. 64K Flash (Internal) 2K EEPROM (Internal) 4K SRAM (Internal) JA Mem Adr/ Data. Doc: page 1 of 9

Various power connectors. 3.3V regulator. 64K Flash (Internal) 2K EEPROM (Internal) 4K SRAM (Internal) JA Mem Adr/ Data. Doc: page 1 of 9 Cerebot II Board Reference Manual Revision: September 14, 2007 Note: This document applies to REV B of the board. www.digilentinc.com 215 E Main Suite D Pullman, WA 99163 (509) 334 6306 Voice and Fax Overview

More information

REV CHANGE DESCRIPTION NAME DATE. A Release

REV CHANGE DESCRIPTION NAME DATE. A Release REV CHANGE DESCRIPTION NAME DATE A Release 4-24-17 Any assistance, services, comments, information, or suggestions provided by Microchip (including without limitation any comments to the effect that the

More information

REV CHANGE DESCRIPTION NAME DATE. A Release

REV CHANGE DESCRIPTION NAME DATE. A Release REV CHANGE DESCRIPTION NAME DATE A Release 4-19-17 Any assistance, services, comments, information, or suggestions provided by Microchip (including without limitation any comments to the effect that the

More information

IGLOO2 Evaluation Kit Webinar

IGLOO2 Evaluation Kit Webinar Power Matters. IGLOO2 Evaluation Kit Webinar Jamie Freed jamie.freed@microsemi.com August 29, 2013 Overview M2GL010T- FG484 $99* LPDDR 10/100/1G Ethernet SERDES SMAs USB UART Available Demos Small Form

More information

MicroBolt. Microcomputer/Controller Featuring the Philips LPC2106 FEATURES

MicroBolt. Microcomputer/Controller Featuring the Philips LPC2106 FEATURES Microcomputer/Controller Featuring the Philips LPC2106 FEATURES Powerful 60 MHz, 32-bit ARM processing core. Pin compatible with 24 pin Stamp-like controllers. Small size complete computer/controller with

More information

EZ-USB FX3 Development Kit Guide

EZ-USB FX3 Development Kit Guide CYUSB3KIT-001 EZ-USB FX3 Development Kit Guide Doc. #: 001-70237 Rev. *A Cypress Semiconductor 198 Champion Court San Jose, CA 95134-1709 Phone (USA): 800.858.1810 Phone (Intnl): 408.943.2600 http://www.cypress.com

More information

SiliconBlue. SiliconBlue Technologies iceman65 Board. Programmable Solutions for Consumer Handheld. 7-MAY-2008 (v1.

SiliconBlue. SiliconBlue Technologies iceman65 Board. Programmable Solutions for Consumer Handheld. 7-MAY-2008 (v1. February 2008 1 SiliconBlue SiliconBlue Technologies iceman65 Board Programmable Solutions for Consumer Handheld 7-MAY-2008 (v1.1) February 2008 2 Agenda iceman65 Kit Programming Options More Information

More information

This manual provides information for the final user application developer on how to use SPC57S-Discovery microcontroller evaluation board.

This manual provides information for the final user application developer on how to use SPC57S-Discovery microcontroller evaluation board. User manual SPC570S-DISP: Discovery+ Evaluation Board Introduction This manual provides information for the final user application developer on how to use SPC57S-Discovery microcontroller evaluation board.

More information

TLE9869 Eval.Kit V1.0 Users Manual

TLE9869 Eval.Kit V1.0 Users Manual TLE9869 Eval.Kit V1.0 Users Manual Contents Abbreviations... 2 1 Concept... 3 2 Interconnects... 4 3 Test Points... 5 4 Jumper Settings... 6 5 Communication Interfaces... 7 5.1 LIN (via Banana jack and

More information

Matrix-710. Linux-Ready Cortex-A5 Industry IoT Gateway. Hardware Guide. Version: Nov.

Matrix-710. Linux-Ready Cortex-A5 Industry IoT Gateway. Hardware Guide. Version: Nov. Matrix-710 Linux-Ready Cortex-A5 Industry IoT Gateway Hardware Guide Version: 1.01 2017 Nov. Copyright Artila Electronics Co., Ltd. All Rights Reserved Trademarks The Artila logo is a registered trademark

More information

SiFive HiFive Unleashed Getting Started Guide v1p0. SiFive, Inc.

SiFive HiFive Unleashed Getting Started Guide v1p0. SiFive, Inc. SiFive HiFive Unleashed Getting Started Guide v1p0 SiFive, Inc. SiFive HiFive Unleashed Getting Started Guide Proprietary Notice Copyright 2018, SiFive Inc. All rights reserved. Information in this document

More information

IB112. Freescale I.MX536 ARM Cortex -A8 Embedded BOARD. USER S MANUAL Version 1.0

IB112. Freescale I.MX536 ARM Cortex -A8 Embedded BOARD. USER S MANUAL Version 1.0 IB112 Freescale I.MX536 ARM Cortex -A8 Embedded BOARD USER S MANUAL Version 1.0 Acknowledgments Freescale is a registered trademark of Freescale Semiconductor Inc. All other product names or trademarks

More information

Skywire Hardware Design Checklist NimbeLink Corp Updated: August 2018

Skywire Hardware Design Checklist NimbeLink Corp Updated: August 2018 Skywire Hardware Design Checklist NimbeLink Corp Updated: August 2018 PN 1001787 rev 2 NimbeLink Corp. All Rights Reserved. 1 Table of Contents Table of Contents 2 Introduction 3 Scope 3 Orderable Devices

More information

Freedom FRDM-KV31F Development Platform User s Guide

Freedom FRDM-KV31F Development Platform User s Guide Freescale Semiconductor, Inc. Document Number: FRDMKV31FUG User's Guide 0, 02/2016 Freedom FRDM-KV31F Development Platform User s Guide 1. Introduction The Freedom development platform is a set of software

More information

CrossLink Hardware Checklist Technical Note

CrossLink Hardware Checklist Technical Note FPGA-TN-02013 Version 1.1 August 2017 Contents Acronyms in This Document... 3 Introduction... 4 Power Supplies... 5 CrossLink MIPI D-PHY and PLL Power Supplies... 5 Power Estimation... 6 Configuration

More information

COM-RZN1D - Hardware Manual

COM-RZN1D - Hardware Manual COM-RZN1D - Hardware Manual Hardware Manual 4 / 01.10.2018 emtrion GmbH Copyright 2018 emtrion GmbH All rights reserved. This documentation may not be photocopied or recorded on any electronic media without

More information

SMT166-FMC User Guide

SMT166-FMC User Guide Sundance Multiprocessor Technology Limited Product Specification Unit / Module Description: Unit / Module Number: Document Issue Number: Issue Date: Original Author: SMT166-FMC User Guide Revision History

More information