Xilinx Solutions for PCI Express

Size: px
Start display at page:

Download "Xilinx Solutions for PCI Express"

Transcription

1 Xilinx Solutions for PCI Express

2 Agenda PCI Express Overview Why PCI Express? Key Requirements PCI Express Layered Architecture Physical Data Link Transaction Software Mechanical PCI Express Application Examples Xilinx Solutions for PCI Express Slide 2

3 PCI Express Overview Third-generation PCI based I/O specification Formerly known as 3GIO and Arapahoe I/O interconnect for wide variety of future computing and communications platforms Fully-serial interface instead of parallel bus Maintains usage models and software interfaces of PCI Slide 3

4 Today s Platform CPU (Client configuration) Processor System Bus Graphics AGP Memory Bridge Memory HubLink or others HDD ATA I/O Bridge USB1.1 Local I/O PCI Diverging Requirements for Each of the Interconnects Next Generation I/O bus should replace most of the inside-the-box interconnects Slide 4

5 PCI Bus Slide 5

6 PCI Express Performance PCI Express Courtesy: Microprocessor Report, September 2001 Best Bandwidth/Pin => Best Value Slide 6

7 Why PCI Express? Traditional I/O interconnects at practical limits Lack of scaling with frequency and voltage Strict skew requirements between parallel signals Stringent routing rules When measured as % of total system cost Look beyond today s specific solutions Must comprehend the requirements of multiple market segments and is ISA good for for the next decade EISA MCA VESA PCI 1980 s 1990 s AGP PCI-X HL Others Need a new Industry Standard Interconnect Slide 7

8 Key Requirements Low cost, high volume Cost at or below PCI cost structure at system level Supports multiple market segments Unifying I/O interconnect technology for Desktop, Mobile, Server, Communication platforms, Workstations and Embedded PCI compatible software model Boot Operating Systems, Configuration/Device Driver Interfaces Performance Scalable performance High Bandwidth/pin, Low overhead and Low latency Slide 8

9 PCI Express Requirements Cost effective Cost at or below PCI Cost structure at system level Utilize high volume system ingredients: Silicon, boards, connectors Support multiple market segments and applications Mobile, Desktops, Servers and Communication Devices Balance performance and feature set by segment Stable, Scalable and Extensible Suitable for future applications and technologies over ~10years Support advanced features and performance Power management, QoS, Hot Attach/Detach, RAS Compatible with PCI Architecture and Infrastructure Boot existing OSs without any changes Utilize existing system infrastructure without any changes Slide 9

10 PCI Express Layered Architecture Config/OS S/W PCI PnP Model (init, enum, config) PCI Software/Driver Model No OS impact Transaction Packet-based Protocol Data Link Physical (electrical Mechanical) Data Integrity Point to point, serial, 2.5+ Gb/s differential, hot-plug, inter-op form factors > 2.5Gb/s/port/direction Future speeds & encoding techniques only impact the Physical layer PCI Express Layering Enables Scalability, Modularity and Reuse Slide 10

11 Functional Elements Slide 11

12 PHY Layer Basics Logical Functions Encoding/decoding Reset, initialization, de-skew Built in test modes Configuration Speed, Link width, lane mapping, polarity Electrical Functions Transmitter/Receiver Packet Exchange Link Power management Slide 12

13 Physical Layer Clock Device A Selectable Width Device B Clock Point to point differential interconnect Low Voltage Signaling Two unidirectional links, No Sideband Signals Bit rate: > 2.5Gb/s/pin/dir and beyond Clocking: Embedded clock signaling using 8b/10b encoding Interface Width: Per direction: x1, x2, x4, x8, x12, x16, x32 Hot add/remove electrical support Slide 13

14 Signaling Basics Differential Superior voltage margins to single-ended Voltage independence; VCC can vary Reduced EMI issues Serial Lanes Higher frequency removes skew requirements between parallel lanes Relaxed routing rules; 4 layer FR4 boards; 20-30, 2 connectors Slide 14

15 8b/10b Encoding Embedded clocking through bit transitions Clock recovery at receiver Supplemental coverage through 8b/10b Higher Performance Routing Flexibility Slide 15

16 Transaction Layer Based on Load Store Architecture Common flat PCI 32/64 bit addressing model Split-transaction, packet based protocol Credit based flow control PCI-X like producer-consumer ordering rules Transaction level End to End CRC Slide 16

17 Data Link Layer Reliable data transport services 32-bit CRC generation and detection Error causes link-level retry Loss of packets detected using sequence numbers Slide 17

18 Packet Formation Slide 18

19 ... Byte 5 Byte 4 Byte 3 Byte 2 Byte 1 Byte 0 PCI Express Transfers Byte Stream {conceptual}... Byte 5 Byte 4 Byte 3 Byte 2 Byte 1 Byte 0 Byte 3 Byte 2 Byte 1 Byte 0 Byte 4 Byte 0 Byte 5 Byte 1 Byte 6 Byte 2 Byte 7 Byte 3 8b/10b P > S 8b/10b P > S 8b/10b P > S 8b/10b P > S 8b/10b P > S Lane 0 Lane 0 Lane 1 Lane 2 Lane 3 Bandwidth is selectable using multiple lanes Slide 19

20 PCI Express Advanced Features Quality of Service Enhancements Virtual channels and traffic classifications Predictable latencies and Isochronous data transfers Relaxed ordering and no-snoop transactions Peer-to-Peer transfers Multi hierarchy and advanced switching support Slide 20

21 PCI Express Advanced Features Rich set of error logging & reporting Aggressive power management Power Budgeting Hot attach / surprise removal capability Miscellaneous side-band signals replaced with in-band messages Error signaling, power management, legacy interrupts Built in ease of testing Slide 21

22 Enhanced Configuration Space Layout PCI Express improves and extends existing PCI concepts Slide 22

23 Software Layers PCI software Model compatible 100% OS and driver level compatible PCI enumeration, configuration, and power management mechanisms Existing Operating Systems boot with no changes (inc. BIOS) PCI Express hierarchy mapped using PCI elements: Host-bridges P2P bridges Simple functions All enumerated using PCI device configuration space PCI capability pointer for PCI Express specific extensions Enhanced features enabled by future applications Slide 23

24 Protocol/Software Summary PCI Express advances overall platform while preserving PCI investments PCI Express Layering provides architecture longevity Defined to boot PCI 2.2 compliant OSs unmodified Preserves PCI architecture while addressing basic limitations by defining new features Traffic classes, Isochrony & QoS Native Hot-plug/Surprise removal Advanced RAS/Error Reporting In Band messages Hooks to enable advanced switching Slide 24

25 Evolutionary Form Factor PCI Express Connector Existing PCI Connector High bandwidth concept Slide 25

26 Evolutionary Form Factor PCI Express Connector Existing PCI Connector High bandwidth concept Slide 26

27 Revolutionary Form Factor Short cable concept for desktop/mobile Slide 27

28 Revolutionary Form Factor Today s Add-in Card with large connector Example: Mini-PCI Express BTO/CTO Addin Card with wired functionality Small form factor concept Slide 28

29 Mechanical Summary PCI Express is optimized for cost Cost effective for migration into commodity infrastructure Replaces PCI over time with 10+ years of life PCI Express is easy to implement Does not require chassis changes in desktops and servers Transition to existing PCI form factors PCI Express provides opportunities for innovative design Benefits for Industry and end users Slide 29

30 PCI Express Application Examples

31 Desktop Example Low Cost Maximize reuse: design, validation 4 Layer Motherboard Software PCI compatible software model Performance Width: x1 and x16 interface Isochrony done right Physical Card and module form factors Hot attach/detach Power Budgeting Slide 31

32 Server Example RAS Capabilities: 32 bit end to end CRC protection & link level retry Error logging and reporting PCI SW compatible Power Budgeting Performance and scalability Lower system latency and high bandwidth Better Connectivity and fan out True QoS and Virtual Channels Physical Max. Trace length -> 2X PCI-X Lane Reversal New signaling medium possibilities Slide 32

33 Communications Example Advanced Switching Features Multi-hierarchy support, peer-to-peer switching, QoS features Advanced switching and message passing primitives Enables development of sophisticated upper layer protocols Slide 33

34 PCI Express Roadmap Slide 34

35 Xilinx Solutions for PCI Express

36 Xilinx has a Rich PCI Heritage PCI Express will be part of it Q3 2002! Aggregate Bandwidth Mb/sec bit 33MHz Xilinx PCI Performance 64-bit 66MHz 64-bit 66MHz 1 Gbps Fibre Channel Ethernet 64-bit 133MHz Roadmap 2.5 Gbps Ultra 320 SCSI 2Gbps Fibre Channel Internet Backbone 40 Gbps Ethernet 10 Gbps Fibre Channel Ethernet Slide 36

37 Xilinx REAL PCI Express IP Solution Industries first PCI Express IP core fully implemented and tested in Virtex-II Pro FPGAs Utilizing embedded Rocket I/O multi-gigabit transceiver Clock data recovery, 8B/10B encoding, Gbps SerDes, transmit/receive FIFOs and CRC to achieve a 2.5 Gbps line speed Xilinx solution allows designers to track emerging PCI specifications A Winning Solution: Xilinx PCI Express IP Core + V-II Pro Slide 37

38 Xilinx REAL PCI Express Solution Roadmap Available Q to allow early adopters of next generation systems to get their product to market faster Compatible with the PCI Express base specification v1.0 PCI-Express Communication HW Demo target for September Xilinx PCI-Express Hardware Development Platform Opens new markets for using FPGAs networking and server applications Slide 38

39 Introducing Virtex-II Pro Virtex-II Logic, Routing, Features Upward compatible, same design tools Embedded Multipliers, SelectIO-Ultra (with 840Mbps LVDS), DCI/XCITE, DCM Up to 16, Gbps serial transceivers Channel bonding, 8b/10b encoding Supports high-speed interfaces PCI Express, GbE, 10GbE (XAUI), PCI/PCI-X, Infiniband, RapidIO, HyperTransport, FlexBus 3/4, POS-PHY 3/4 Up to four IBM 405 PowerPC 32-bit RISC CPU: MHz The leading embedded CPU architecture in telecom & networking infrastructure IBM CoreConnect on-chip bus Virtex-II Pro and IP Solutions will Further Enable Next Generation Networking and Telecom Products Slide 39

40 V-II Pro manages transition to Serial I/Fs - PCI to PCI Express Reg OCK1 Reg OCK2 Reg OCK1 Reg OCK2 DDR mux 3-State DDR mux Output IOB Input Reg ICK1 Reg ICK2 PAD SelectI/O-Ultra technology for parallel interfaces 25 I/O Standards XCITE Technology 840 Mbps LVDS Dedicated DDR Registers 78 MHz Gb Serial Transceiver Gb Serial Transceiver 78 MHz DDR FF P N IOB Rocket I/O Multi-Gigabit Serial Transceivers Up to Sixteen Gbps transceivers Helps preserve investment in legacy designs Eases transition from parallel to serial technology Parallel interface designs will not go away Slide 40

41 Supporting Legacy & Evolving System Interfaces QDR SRAM NoBL/ZBT SRAM SDR/DDR SDRAM FCRAM Sigma RAM RLDRAM CAMs PCI 32/64 10/100 Ethernet (MII) Proprietary Xilinx Virtex-II family of FPGAs Serial RapidIO Infiniband PCI Express Fibre Channel 10 Gbit Ethernet (XAUI) RapidIO POS-PHY L3/L4 FlexBus 3/4 CSIX HyperTransport SPI4 Phase1, Phase2 Gigabit Ethernet - GMII 10 Gbit Ethernet (XGMII) 840Mbps LVDS Proprietary Slide 41

42 Virtex-II Pro Architectural Features 18 Bit 36 Bit BRAM Bit Impedance Controller Embedded DSP functionality - up to 500 Billion MAC/s Embedded Dual-Port RAM - for Data Buffering XCITE Digitally-Controlled Impedance (DCI) for simpler PCB layout CLKIN CLK0 CLK90 CLKFB CLK180 CLK2X RST CLK270 DSSEN CLK2X180 PSINCDEC CLKDV CLKFX180 PSEN CLKFX PSCLK STATUS[7:0] LOCKED PSDONE clock signal control signal Digital Clock Management (DCM) - Precise Clock Generation Delay (ps) XL LUTs Reached Virtex Virtex-II Φαστεστ ΦΠΓΑ ον τηε Πλανετ Active Interconnect Technology with a 300 MHz System Clock SelectIO 25 IO types including 840 Mbps LVDS Slide 42

43 Summary PCI Express - A 3rd generation follow-on to PCI Unifying I/O interconnect technology for Desktop, Mobile, Server, Communication platforms, Workstations and Embedded Chip-to-chip, board-to-board (plug-in adapters), docking PCI compatible software model Configuration and device driver interface, Boots existing OS s Advanced Features Power management, QoS, Hot Attach/Detach, RAS Performance Industry leading performance and price/performance High Bandwidth/pin, Low overhead and Low latency V-II Pro PCI Express Core coming Q3 2002! Slide 43

44 References White Paper Creating a Third Generation I/O Architecture Why Paper Why build products based on 3GIO Slide 44

45 PCI Express Performance BW/Pin = Peak Bandwidth/Total # the component Total # Pins = Data pins + Address/Control Pins + Power and Ground Pins Assumptions: 32bit, 33MHz & 84pins -> ~133MB/Sec 64bit, 133MHz & 150pins -> ~1GB/Sec bit, 4x 66 MHz & 108pins -> ~1GB/Sec PCI 8 bits/dir, 2.5Gb/s/dir & 40 pins -> ~2.5GB/Sec/Dir Slide 45

46 Questions?

PCI EXPRESS TECHNOLOGY. Jim Brewer, Dell Business and Technology Development Joe Sekel, Dell Server Architecture and Technology

PCI EXPRESS TECHNOLOGY. Jim Brewer, Dell Business and Technology Development Joe Sekel, Dell Server Architecture and Technology WHITE PAPER February 2004 PCI EXPRESS TECHNOLOGY Jim Brewer, Dell Business and Technology Development Joe Sekel, Dell Server Architecture and Technology Formerly known as 3GIO, PCI Express is the open

More information

Altera Product Overview. Altera Product Overview

Altera Product Overview. Altera Product Overview Altera Product Overview Tim Colleran Vice President, Product Marketing Altera Product Overview High Density + High Bandwidth I/O Programmable ASSP with CDR High-Speed Product Term Embedded Processor High

More information

Emerging Protocols & Applications

Emerging Protocols & Applications Emerging Protocols & Applications Anthony Dalleggio Executive Vice President Modelware, Inc. Contents Historical System Bandwidth Trends The PC Bus SONET, UTOPIA, & POS-PHY Targeted Applications SANs:

More information

Introduction Electrical Considerations Data Transfer Synchronization Bus Arbitration VME Bus Local Buses PCI Bus PCI Bus Variants Serial Buses

Introduction Electrical Considerations Data Transfer Synchronization Bus Arbitration VME Bus Local Buses PCI Bus PCI Bus Variants Serial Buses Introduction Electrical Considerations Data Transfer Synchronization Bus Arbitration VME Bus Local Buses PCI Bus PCI Bus Variants Serial Buses 1 Most of the integrated I/O subsystems are connected to the

More information

Basic FPGA Architecture Xilinx, Inc. All Rights Reserved

Basic FPGA Architecture Xilinx, Inc. All Rights Reserved Basic FPGA Architecture 2005 Xilinx, Inc. All Rights Reserved Objectives After completing this module, you will be able to: Identify the basic architectural resources of the Virtex -II FPGA List the differences

More information

Optimal Management of System Clock Networks

Optimal Management of System Clock Networks Optimal Management of System Networks 2002 Introduction System Management Is More Challenging No Longer One Synchronous per System or Card Must Design Source-Synchronous or CDR Interfaces with Multiple

More information

White Paper. ORSPI4 Field-Programmable System-on-a-Chip Solves Design Challenges for 10 Gbps Line Cards

White Paper. ORSPI4 Field-Programmable System-on-a-Chip Solves Design Challenges for 10 Gbps Line Cards White Paper ORSPI4 Field-Programmable System-on-a-Chip Solves Design Challenges for 10 Gbps Line Cards Sidhartha Mohanty and Fred Koons Lattice Semiconductor Corporation October 2003 Bringing the Best

More information

ECE 485/585 Microprocessor System Design

ECE 485/585 Microprocessor System Design Microprocessor System Design Lecture 16: PCI Bus Serial Buses Zeshan Chishti Electrical and Computer Engineering Dept. Maseeh College of Engineering and Computer Science Source: Lecture based on materials

More information

Field Programmable Gate Array (FPGA) Devices

Field Programmable Gate Array (FPGA) Devices Field Programmable Gate Array (FPGA) Devices 1 Contents Altera FPGAs and CPLDs CPLDs FPGAs with embedded processors ACEX FPGAs Cyclone I,II FPGAs APEX FPGAs Stratix FPGAs Stratix II,III FPGAs Xilinx FPGAs

More information

Virtex-II Architecture. Virtex II technical, Design Solutions. Active Interconnect Technology (continued)

Virtex-II Architecture. Virtex II technical, Design Solutions. Active Interconnect Technology (continued) Virtex-II Architecture SONET / SDH Virtex II technical, Design Solutions PCI-X PCI DCM Distri RAM 18Kb BRAM Multiplier LVDS FIFO Shift Registers BLVDS SDRAM QDR SRAM Backplane Rev 4 March 4th. 2002 J-L

More information

In-chip and Inter-chip Interconnections and data transportations for Future MPAR Digital Receiving System

In-chip and Inter-chip Interconnections and data transportations for Future MPAR Digital Receiving System In-chip and Inter-chip Interconnections and data transportations for Future MPAR Digital Receiving System A presentation for LMCO-MPAR project 2007 briefing Dr. Yan Zhang School of Electrical and Computer

More information

I/O Channels. RAM size. Chipsets. Cluster Computing Paul A. Farrell 9/8/2011. Memory (RAM) Dept of Computer Science Kent State University 1

I/O Channels. RAM size. Chipsets. Cluster Computing Paul A. Farrell 9/8/2011. Memory (RAM) Dept of Computer Science Kent State University 1 Memory (RAM) Standard Industry Memory Module (SIMM) RDRAM and SDRAM Access to RAM is extremely slow compared to the speed of the processor Memory busses (front side busses FSB) run at 100MHz to 800MHz

More information

Multi-Gigabit Transceivers Getting Started with Xilinx s Rocket I/Os

Multi-Gigabit Transceivers Getting Started with Xilinx s Rocket I/Os Multi-Gigabit Transceivers Getting Started with Xilinx s Rocket I/Os Craig Ulmer cdulmer@sandia.gov July 26, 2007 Craig Ulmer SNL/CA Sandia is a multiprogram laboratory operated by Sandia Corporation,

More information

LatticeSCM SPI4.2 Interoperability with PMC-Sierra PM3388

LatticeSCM SPI4.2 Interoperability with PMC-Sierra PM3388 August 2006 Technical Note TN1121 Introduction The System Packet Interface, Level 4, Phase 2 (SPI4.2) is a system level interface, published in 2001 by the Optical Internetworking Forum (OIF), for packet

More information

APEX II The Complete I/O Solution

APEX II The Complete I/O Solution APEX II The Complete I/O Solution July 2002 Altera introduces the APEX II device family: highperformance, high-bandwidth programmable logic devices (PLDs) targeted towards emerging network communications

More information

A HT3 Platform for Rapid Prototyping and High Performance Reconfigurable Computing

A HT3 Platform for Rapid Prototyping and High Performance Reconfigurable Computing A HT3 Platform for Rapid Prototyping and High Performance Reconfigurable Computing Second International Workshop on HyperTransport Research and Application (WHTRA 2011) University of Heidelberg Computer

More information

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info.

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info. A FPGA based development platform as part of an EDK is available to target intelop provided IPs or other standard IPs. The platform with Virtex-4 FX12 Evaluation Kit provides a complete hardware environment

More information

CEC 450 Real-Time Systems

CEC 450 Real-Time Systems CEC 450 Real-Time Systems Lecture 9 Device Interfaces and I/O October 19, 2017 Sam Siewert View to End of Semester Exam 1 78.6 Ave, 85 High Exam #1 Solutions - Go over in class Grades Posted on Canvas

More information

Maximizing heterogeneous system performance with ARM interconnect and CCIX

Maximizing heterogeneous system performance with ARM interconnect and CCIX Maximizing heterogeneous system performance with ARM interconnect and CCIX Neil Parris, Director of product marketing Systems and software group, ARM Teratec June 2017 Intelligent flexible cloud to enable

More information

Spartan-6 & Virtex-6 FPGA Connectivity Kit FAQ

Spartan-6 & Virtex-6 FPGA Connectivity Kit FAQ 1 P age Spartan-6 & Virtex-6 FPGA Connectivity Kit FAQ April 04, 2011 Getting Started 1. Where can I purchase a kit? A: You can purchase your Spartan-6 and Virtex-6 FPGA Connectivity kits online at: Spartan-6

More information

Agilent Bead Probe Technology

Agilent Bead Probe Technology Agilent Bead Probe Technology Page 1 Abstract Lead-free, shrinking geometries, new packages and high-speed signaling present new challenges for ICT. The impact will be more defects, loss of access, lower

More information

ECE 485/585 Microprocessor System Design

ECE 485/585 Microprocessor System Design Microprocessor System Design Lecture 15: Bus Fundamentals Zeshan Chishti Electrical and Computer Engineering Dept. Maseeh College of Engineering and Computer Science Source: Lecture based on materials

More information

CEC 450 Real-Time Systems

CEC 450 Real-Time Systems CEC 450 Real-Time Systems Lecture 9 Device Interfaces October 20, 2015 Sam Siewert This Week Exam 1 86.4 Ave, 4.93 Std Dev, 91 High Solutions Posted on Canvas Questions? Monday Went Over in Class Assignment

More information

SERIAL MULTI-PROTOCOL TRANSMISSION WITH THE LatticeSC FPGA

SERIAL MULTI-PROTOCOL TRANSMISSION WITH THE LatticeSC FPGA SERIAL MULTI-PROTOCOL TRANSMISSION WITH THE LatticeSC FPGA February 2006 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 Serial

More information

A (Very Hand-Wavy) Introduction to. PCI-Express. Jonathan Heathcote

A (Very Hand-Wavy) Introduction to. PCI-Express. Jonathan Heathcote A (Very Hand-Wavy) Introduction to PCI-Express Jonathan Heathcote Motivation Six Week Project Before PhD Starts: SpiNNaker Ethernet I/O is Sloooooow How Do You Get Things In/Out of SpiNNaker, Fast? Build

More information

Comparing Ethernet and RapidIO

Comparing Ethernet and RapidIO Comparing Ethernet and RapidIO Greg Shippen System Architect Freescale Semiconductor The Embedded Fabric Choice Copyright 2007 RapidIO Trade Association Revision 1, Jan 2007 Agenda Interconnect Trends

More information

Zynq-7000 All Programmable SoC Product Overview

Zynq-7000 All Programmable SoC Product Overview Zynq-7000 All Programmable SoC Product Overview The SW, HW and IO Programmable Platform August 2012 Copyright 2012 2009 Xilinx Introducing the Zynq -7000 All Programmable SoC Breakthrough Processing Platform

More information

Programmable Logic Design Grzegorz Budzyń Lecture. 15: Advanced hardware in FPGA structures

Programmable Logic Design Grzegorz Budzyń Lecture. 15: Advanced hardware in FPGA structures Programmable Logic Design Grzegorz Budzyń Lecture 15: Advanced hardware in FPGA structures Plan Introduction PowerPC block RocketIO Introduction Introduction The larger the logical chip, the more additional

More information

CS/ECE 217. GPU Architecture and Parallel Programming. Lecture 16: GPU within a computing system

CS/ECE 217. GPU Architecture and Parallel Programming. Lecture 16: GPU within a computing system CS/ECE 217 GPU Architecture and Parallel Programming Lecture 16: GPU within a computing system Objective To understand the major factors that dictate performance when using GPU as an compute co-processor

More information

Switch Fabric Architecture. Jack Regula January 12, 2001

Switch Fabric Architecture. Jack Regula January 12, 2001 Switch Fabric Architecture Jack Regula January 12, 2001 PLX Switch Fabric Architecture Agenda Communications Infrastructure Trends What Is a Switch Fabric? How Does it Work? The Compelling Nature of Switch

More information

S2C K7 Prodigy Logic Module Series

S2C K7 Prodigy Logic Module Series S2C K7 Prodigy Logic Module Series Low-Cost Fifth Generation Rapid FPGA-based Prototyping Hardware The S2C K7 Prodigy Logic Module is equipped with one Xilinx Kintex-7 XC7K410T or XC7K325T FPGA device

More information

Advances in Storage Connectivity ATTO Ultra320 SCSI The Next Generation A Technical Brief

Advances in Storage Connectivity ATTO Ultra320 SCSI The Next Generation A Technical Brief Advances in Storage Connectivity ATTO Ultra320 SCSI The Next Generation A Technical Brief Introduction: Ultra320 SCSI represents the 7 th generation of SCSI technology, an I/O interface that is committed

More information

White Paper The Need for a High-Bandwidth Memory Architecture in Programmable Logic Devices

White Paper The Need for a High-Bandwidth Memory Architecture in Programmable Logic Devices Introduction White Paper The Need for a High-Bandwidth Memory Architecture in Programmable Logic Devices One of the challenges faced by engineers designing communications equipment is that memory devices

More information

XMC-FPGA05F. Programmable Xilinx Virtex -5 FPGA PMC/XMC with Quad Fiber-optics. Data Sheet

XMC-FPGA05F. Programmable Xilinx Virtex -5 FPGA PMC/XMC with Quad Fiber-optics. Data Sheet Data Sheet XMC-FPGA05F Programmable Xilinx Virtex -5 FPGA PMC/XMC with Quad s Applications Remote Sensor Interface Data Recorders Distributed Processing Interconnect Protocol Converter Data Encryption

More information

AMD HyperTransport Technology-Based System Architecture

AMD HyperTransport Technology-Based System Architecture AMD Technology-Based ADVANCED MICRO DEVICES, INC. One AMD Place Sunnyvale, CA 94088 Page 1 AMD Technology-Based May 2002 Table of Contents Introduction... 3 AMD-8000 Series of Chipset Components Product

More information

IGLOO2 Evaluation Kit Webinar

IGLOO2 Evaluation Kit Webinar Power Matters. IGLOO2 Evaluation Kit Webinar Jamie Freed jamie.freed@microsemi.com August 29, 2013 Overview M2GL010T- FG484 $99* LPDDR 10/100/1G Ethernet SERDES SMAs USB UART Available Demos Small Form

More information

VIA ProSavageDDR KM266 Chipset

VIA ProSavageDDR KM266 Chipset VIA ProSavageDDR KM266 Chipset High Performance Integrated DDR platform for the AMD Athlon XP Page 1 The VIA ProSavageDDR KM266: High Performance Integrated DDR platform for the AMD Athlon XP processor

More information

eslim SV Dual and Quad-Core Xeon Server Dual and Quad-Core Server Computing Leader!! ESLIM KOREA INC.

eslim SV Dual and Quad-Core Xeon Server  Dual and Quad-Core Server Computing Leader!! ESLIM KOREA INC. eslim SV7-2186 Dual and Quad-Core Xeon Server www.eslim.co.kr Dual and Quad-Core Server Computing Leader!! ESLIM KOREA INC. 1. Overview eslim SV7-2186 Server Dual and Quad-Core Intel Xeon Processors 4

More information

eslim SV Opteron 1U Server

eslim SV Opteron 1U Server eslim SV5-2300 Opteron 1U Server www.eslim.co.kr Dual and Quad-Core Server Computing Leader!! ESLIM KOREA INC. 1. Overview HyperTransport eslim SV5-2300 Server Powered by Dual-core AMD Opteron processor

More information

Achieving Breakthrough Performance with Virtex-4, the World s Fastest FPGA

Achieving Breakthrough Performance with Virtex-4, the World s Fastest FPGA Achieving Breakthrough Performance with Virtex-4, the World s Fastest FPGA Xilinx 90nm Design Seminar Series: Part I Xilinx - #1 in 90 nm We Asked our Customers: What are your challenges? Shorter design

More information

eslim SV Xeon 1U Server

eslim SV Xeon 1U Server eslim SV7-2100 Xeon 1U Server www.eslim.co.kr Dual and Quad-Core Server Computing Leader!! ELSIM KOREA INC. 1. Overview Hyper-Threading eslim SV7-2100 Server Outstanding computing powered by 64-bit Intel

More information

eslim SV Xeon 2U Server

eslim SV Xeon 2U Server eslim SV7-2250 Xeon 2U Server www.eslim.co.kr Dual and Quad-Core Server Computing Leader!! ELSIM KOREA INC. 1. Overview Hyper-Threading eslim SV7-2250 Server Outstanding computing powered by 64-bit Intel

More information

4. Selectable I/O Standards in Stratix & Stratix GX Devices

4. Selectable I/O Standards in Stratix & Stratix GX Devices 4. Selectable I/O Standards in Stratix & Stratix GX Devices S52004-3.4 Introduction The proliferation of I/O standards and the need for higher I/O performance have made it critical that devices have flexible

More information

Introduction to PCI Express Positioning Information

Introduction to PCI Express Positioning Information Introduction to PCI Express Positioning Information Main PCI Express is the latest development in PCI to support adapters and devices. The technology is aimed at multiple market segments, meaning that

More information

DesignCon SerDes Architectures and Applications. Dave Lewis, National Semiconductor Corporation

DesignCon SerDes Architectures and Applications. Dave Lewis, National Semiconductor Corporation DesignCon 2004 SerDes Architectures and Applications Dave Lewis, National Semiconductor Corporation Abstract When most system designers look at serializer/deserializer (SerDes) devices, they often compare

More information

L2: FPGA HARDWARE : ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA

L2: FPGA HARDWARE : ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA L2: FPGA HARDWARE 18-545: ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA 18-545: FALL 2014 2 Admin stuff Project Proposals happen on Monday Be prepared to give an in-class presentation Lab 1 is

More information

Enabling success from the center of technology. Interfacing FPGAs to Memory

Enabling success from the center of technology. Interfacing FPGAs to Memory Interfacing FPGAs to Memory Goals 2 Understand the FPGA/memory interface Available memory technologies Available memory interface IP & tools from Xilinx Compare Performance Cost Resources Demonstrate a

More information

Interfacing FPGAs with High Speed Memory Devices

Interfacing FPGAs with High Speed Memory Devices Interfacing FPGAs with High Speed Memory Devices 2002 Agenda Memory Requirements Memory System Bandwidth Do I Need External Memory? Altera External Memory Interface Support Memory Interface Challenges

More information

Technology Roadmap 2002

Technology Roadmap 2002 2002 Technology Roadmap Agenda Investing in Our Future Advanced Process Technology Rising Costs of ASIC Development Core Technology Improvements Product Family Roadmaps Development Tools Programmable Systems

More information

2. THE PCI EXPRESS BUS

2. THE PCI EXPRESS BUS 1 2. THE PCI EXPRESS BUS This laboratory work presents the serial variant of the PCI bus, referred to as PCI Express. After an overview of the PCI Express bus, details about its architecture are presented,

More information

This page intentionally left blank

This page intentionally left blank This page intentionally left blank 216 THE DIGITAL LOGIC LEVEL CHAP. 3 and in 1995, 2.1 came out. 2.2 has features for mobile computers (mostly for saving battery power). The bus runs at up to 66 MHz and

More information

Implementing RapidIO. Travis Scheckel and Sandeep Kumar. Communications Infrastructure Group, Texas Instruments

Implementing RapidIO. Travis Scheckel and Sandeep Kumar. Communications Infrastructure Group, Texas Instruments White Paper Implementing RapidIO Travis Scheckel and Sandeep Kumar Communications Infrastructure Group, Texas Instruments In today s telecommunications market, slow and proprietary is not the direction

More information

Robert Jamieson. Robs Techie PP Everything in this presentation is at your own risk!

Robert Jamieson. Robs Techie PP Everything in this presentation is at your own risk! Robert Jamieson Robs Techie PP Everything in this presentation is at your own risk! PC s Today Basic Setup Hardware pointers PCI Express How will it effect you Basic Machine Setup Set the swap space Min

More information

December 2002, ver. 1.1 Application Note For more information on the CDR mode of the HSDI block, refer to AN 130: CDR in Mercury Devices.

December 2002, ver. 1.1 Application Note For more information on the CDR mode of the HSDI block, refer to AN 130: CDR in Mercury Devices. Using HSDI in Source- Synchronous Mode in Mercury Devices December 2002, ver. 1.1 Application Note 159 Introduction High-speed serial data transmission has gained increasing popularity in the data communications

More information

HyperTransport. Dennis Vega Ryan Rawlins

HyperTransport. Dennis Vega Ryan Rawlins HyperTransport Dennis Vega Ryan Rawlins What is HyperTransport (HT)? A point to point interconnect technology that links processors to other processors, coprocessors, I/O controllers, and peripheral controllers.

More information

Creating High-Speed Memory Interfaces with Virtex-II and Virtex-II Pro FPGAs Author: Nagesh Gupta, Maria George

Creating High-Speed Memory Interfaces with Virtex-II and Virtex-II Pro FPGAs Author: Nagesh Gupta, Maria George XAPP688 (v1.2) May 3, 2004 R Application Note: Virtex-II Families Creating High-Speed Memory Interfaces with Virtex-II and Virtex-II Pro FPGAs Author: Nagesh Gupta, Maria George Summary Designing high-speed

More information

Designing Embedded Processors in FPGAs

Designing Embedded Processors in FPGAs Designing Embedded Processors in FPGAs 2002 Agenda Industrial Control Systems Concept Implementation Summary & Conclusions Industrial Control Systems Typically Low Volume Many Variations Required High

More information

Cisco HyperFlex HX220c M4 Node

Cisco HyperFlex HX220c M4 Node Data Sheet Cisco HyperFlex HX220c M4 Node A New Generation of Hyperconverged Systems To keep pace with the market, you need systems that support rapid, agile development processes. Cisco HyperFlex Systems

More information

VXS-621 FPGA & PowerPC VXS Multiprocessor

VXS-621 FPGA & PowerPC VXS Multiprocessor VXS-621 FPGA & PowerPC VXS Multiprocessor Xilinx Virtex -5 FPGA for high performance processing On-board PowerPC CPU for standalone operation, communications management and user applications Two PMC/XMC

More information

Node Hardware. Performance Convergence

Node Hardware. Performance Convergence Node Hardware Improved microprocessor performance means availability of desktop PCs with performance of workstations (and of supercomputers of 10 years ago) at significanty lower cost Parallel supercomputers

More information

Version PEX 8516

Version PEX 8516 Version 1.4 2006 PEX 8516 Not recommended for new designs please use PEX8518 for new designs Version 1.4 2006 Features PEX 8516 General Features o 16-lane PCI Express switch - Integrated SerDes o Up to

More information

VIA Apollo P4X400 Chipset. Enabling Total System Performance

VIA Apollo P4X400 Chipset. Enabling Total System Performance VIA Apollo P4X400 Chipset Enabling Total System Performance High performance DDR400 chipset platform for the Intel Pentium 4 processor Page 1 Introduction The VIA Apollo P4X400 is a core logic chipset

More information

Version PEX Recommended only for designs migrating from PEX 8516 please use PEX 8518 for new designs

Version PEX Recommended only for designs migrating from PEX 8516 please use PEX 8518 for new designs Version 1.6 2007 PEX 8517 Recommended only for designs migrating from PEX 8516 please use PEX 8518 for new designs Version 1.6 2007 Features PEX 8517 General Features o 16-lane PCI Express switch - Integrated

More information

PEX8764, PCI Express Gen3 Switch, 64 Lanes, 16 Ports

PEX8764, PCI Express Gen3 Switch, 64 Lanes, 16 Ports Highlights PEX8764 General Features o 64-lane, 16-port PCIe Gen3 switch Integrated 8.0 GT/s SerDes o 35 x 35mm 2, 1156-ball FCBGA package o Typical Power: 1. Watts PEX8764 Key Features o Standards Compliant

More information

Lecture 11: Speed & Communications

Lecture 11: Speed & Communications Lecture 11: Speed & Communications David Black-Schaffer davidbbs@stanford.edu EE183 Spring 2003 Pipelining for Speed Overview Do a little bit of work in each stage (fast) Use the pipe registers to break

More information

International Training Workshop on FPGA Design for Scientific Instrumentation and Computing November 2013.

International Training Workshop on FPGA Design for Scientific Instrumentation and Computing November 2013. 2499-1 International Training Workshop on FPGA Design for Scientific Instrumentation and Computing 11-22 November 2013 FPGA Introduction Cristian SISTERNA National University of San Juan San Juan Argentina

More information

The S6000 Family of Processors

The S6000 Family of Processors The S6000 Family of Processors Today s Design Challenges The advent of software configurable processors In recent years, the widespread adoption of digital technologies has revolutionized the way in which

More information

Field Programmable Gate Array (FPGA)

Field Programmable Gate Array (FPGA) Field Programmable Gate Array (FPGA) Lecturer: Krébesz, Tamas 1 FPGA in general Reprogrammable Si chip Invented in 1985 by Ross Freeman (Xilinx inc.) Combines the advantages of ASIC and uc-based systems

More information

Designing with the Xilinx 7 Series PCIe Embedded Block. Tweet this event: #avtxfest

Designing with the Xilinx 7 Series PCIe Embedded Block. Tweet this event: #avtxfest Designing with the Xilinx 7 Series PCIe Embedded Block Follow @avnetxfest Tweet this event: #avtxfest www.facebook.com/xfest2012 Why Would This Presentation Matter to You? 2 If you are designing a PCIe

More information

LatticeSC/M 2.5GbE Physical/MAC Layer Interoperability Over CX-4

LatticeSC/M 2.5GbE Physical/MAC Layer Interoperability Over CX-4 LatticeSC/M 2.5GbE Physical/MAC Layer Interoperability Over CX-4 October 2007 Technical Note TN1164 Introduction This technical note describes a 1000BASE-X physical/mac layer Gigabit Ethernet (GbE) interoperability

More information

PCI Express: Evolution, Deployment and Challenges

PCI Express: Evolution, Deployment and Challenges PCI Express: Evolution, Deployment and Challenges Nick Ma 马明辉 Field Applications Engineer, PLX Freescale Technology Forum, Beijing Track: Enabling Technologies Freescale Technology Forum, Beijing - November

More information

Peripheral Component Interconnect - Express

Peripheral Component Interconnect - Express PCIe Peripheral Component Interconnect - Express Preceded by PCI and PCI-X But completely different physically Logical configuration separate from the physical configuration Logical configuration is backward

More information

Today. Comments about assignment Max 1/T (skew = 0) Max clock skew? Comments about assignment 3 ASICs and Programmable logic Others courses

Today. Comments about assignment Max 1/T (skew = 0) Max clock skew? Comments about assignment 3 ASICs and Programmable logic Others courses Today Comments about assignment 3-43 Comments about assignment 3 ASICs and Programmable logic Others courses octor Per should show up in the end of the lecture Mealy machines can not be coded in a single

More information

High Bandwidth Electronics

High Bandwidth Electronics DOE BES Neutron & Photon Detectors Workshop, August 1-3, 2012 Ryan Herbst System Overview What are the standard components in a detector system? Detector/Amplifier & ADC Digital front end - Configure and

More information

Fully-Buffered DIMM Technology Moves Enterprise Platforms to the Next Level

Fully-Buffered DIMM Technology Moves Enterprise Platforms to the Next Level Page 1 Fully-Buffered DIMM Technology Moves Enterprise Platforms to the Next Level Jon Haas FB-DIMM Initiative Manager Pete Vogt Principal Engineer Copyright 2005. *Third-party brands and names are the

More information

Introduction to Field Programmable Gate Arrays

Introduction to Field Programmable Gate Arrays Introduction to Field Programmable Gate Arrays Lecture 1/3 CERN Accelerator School on Digital Signal Processing Sigtuna, Sweden, 31 May 9 June 2007 Javier Serrano, CERN AB-CO-HT Outline Historical introduction.

More information

ECE 471 Embedded Systems Lecture 30

ECE 471 Embedded Systems Lecture 30 ECE 471 Embedded Systems Lecture 30 Vince Weaver http://web.eece.maine.edu/~vweaver vincent.weaver@maine.edu 3 December 2018 HW#11 was posted Announcements Feel free to return borrowed hardware. 1 Ethernet

More information

High-Performance Memory Interfaces Made Easy

High-Performance Memory Interfaces Made Easy High-Performance Memory Interfaces Made Easy Xilinx 90nm Design Seminar Series: Part IV Xilinx - #1 in 90 nm We Asked Our Customers: What are your challenges? Shorter design time, faster obsolescence More

More information

Basic FPGA Architectures. Actel FPGAs. PLD Technologies: Antifuse. 3 Digital Systems Implementation Programmable Logic Devices

Basic FPGA Architectures. Actel FPGAs. PLD Technologies: Antifuse. 3 Digital Systems Implementation Programmable Logic Devices 3 Digital Systems Implementation Programmable Logic Devices Basic FPGA Architectures Why Programmable Logic Devices (PLDs)? Low cost, low risk way of implementing digital circuits as application specific

More information

Intel Enterprise Processors Technology

Intel Enterprise Processors Technology Enterprise Processors Technology Kosuke Hirano Enterprise Platforms Group March 20, 2002 1 Agenda Architecture in Enterprise Xeon Processor MP Next Generation Itanium Processor Interconnect Technology

More information

Computer Maintenance. Unit Subtitle: Motherboards. Copyright Texas Education Agency, All rights reserved. 1

Computer Maintenance. Unit Subtitle: Motherboards. Copyright Texas Education Agency, All rights reserved. 1 Computer Maintenance Unit Subtitle: Motherboards 1 Lesson Objectives Describe the function of the motherboard. Identify the different types of motherboards and their characteristics. Identify the main

More information

8. Migrating Stratix II Device Resources to HardCopy II Devices

8. Migrating Stratix II Device Resources to HardCopy II Devices 8. Migrating Stratix II Device Resources to HardCopy II Devices H51024-1.3 Introduction Altera HardCopy II devices and Stratix II devices are both manufactured on a 1.2-V, 90-nm process technology and

More information

White Paper Compromises of Using a 10-Gbps Transceiver at Other Data Rates

White Paper Compromises of Using a 10-Gbps Transceiver at Other Data Rates White Paper Compromises of Using a 10-Gbps Transceiver at Other Data Rates Introduction Many applications and designs are adopting clock data recovery-based (CDR) transceivers for interconnect data transfer.

More information

SAS Technical Update Connectivity Roadmap and MultiLink SAS Initiative Jay Neer Molex Corporation Marty Czekalski Seagate Technology LLC

SAS Technical Update Connectivity Roadmap and MultiLink SAS Initiative Jay Neer Molex Corporation Marty Czekalski Seagate Technology LLC SAS Technical Update Connectivity Roadmap and MultiLink SAS Initiative Jay Neer Molex Corporation Marty Czekalski Seagate Technology LLC SAS Connectivity Roadmap Background Connectivity Objectives Converged

More information

10 Gigabit Ethernet 10GBase-R PCS Core. 1 Introduction. Product Brief Version August 2004

10 Gigabit Ethernet 10GBase-R PCS Core. 1 Introduction. Product Brief Version August 2004 1 Introduction Initially, 10 Gigabit Ethernet (10 GbE) is used by network managers to provide high-speed, local backbone interconnection between large-capacity switches, as it enables Internet Service

More information

Figure 1 SATA Communication Layer

Figure 1 SATA Communication Layer SATA-IP Bridge reference design on AC701 manual Rev1.0 9-May-14 1. Introduction Serial ATA (SATA) is an evolutionary replacement for the Parallel ATA (PATA) physical storage interface. SATA interface increases

More information

fleximac A MICROSEQUENCER FOR FLEXIBLE PROTOCOL PROCESSING

fleximac A MICROSEQUENCER FOR FLEXIBLE PROTOCOL PROCESSING fleximac A MICROSEQUENCER FOR FLEXIBLE PROTOCOL PROCESSING A Lattice Semiconductor White Paper February 2006 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503)

More information

Introduction to Partial Reconfiguration Methodology

Introduction to Partial Reconfiguration Methodology Methodology This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Define Partial Reconfiguration technology List common applications

More information

Gate Estimate. Practical (60% util)* (1000's) Max (100% util)* (1000's)

Gate Estimate. Practical (60% util)* (1000's) Max (100% util)* (1000's) The Product Brief October 07 Ver. 1.3 Group DN9000K10PCIe-4GL XilinxVirtex-5 Based ASIC Prototyping Engine, 4-lane PCI Express (Genesys Logic PHYs) Features PCI Express (4-lane) logic prototyping system

More information

1. Stratix IV Device Family Overview

1. Stratix IV Device Family Overview 1. Stratix IV Device Family Overview SIV51001-3.0 Altera Stratix IV FPGAs deliver a breakthrough level of system bandwidth and power efficiency for high-end applications, allowing you to innovate without

More information

VXS-610 Dual FPGA and PowerPC VXS Multiprocessor

VXS-610 Dual FPGA and PowerPC VXS Multiprocessor VXS-610 Dual FPGA and PowerPC VXS Multiprocessor Two Xilinx Virtex -5 FPGAs for high performance processing On-board PowerPC CPU for standalone operation, communications management and user applications

More information

Boundary Scan: Technology Update

Boundary Scan: Technology Update ASSET InterTech, Inc. Boundary Scan: Technology Update Doug Kmetz Sales Engineer ASSET InterTech, Inc. Agilent Boundary Scan User Group Meeting May 5, 2010 Overview ASSET InterTech Driving Embedded Instrumentation

More information

Introduction to High-Speed InfiniBand Interconnect

Introduction to High-Speed InfiniBand Interconnect Introduction to High-Speed InfiniBand Interconnect 2 What is InfiniBand? Industry standard defined by the InfiniBand Trade Association Originated in 1999 InfiniBand specification defines an input/output

More information

Intel PRO/1000 PT and PF Quad Port Bypass Server Adapters for In-line Server Appliances

Intel PRO/1000 PT and PF Quad Port Bypass Server Adapters for In-line Server Appliances Technology Brief Intel PRO/1000 PT and PF Quad Port Bypass Server Adapters for In-line Server Appliances Intel PRO/1000 PT and PF Quad Port Bypass Server Adapters for In-line Server Appliances The world

More information

Review Questions on Computer Basics

Review Questions on Computer Basics Review Questions on Computer Basics 1. Distinguish between and define (see glossary in Maxfield and Brown s Bebop Bytes Back for the definition of terms not found in Andrews A Guide to Managing and Maintaining

More information

1. Overview for the Arria V Device Family

1. Overview for the Arria V Device Family 1. Overview for the Arria V Device Family December 2011 AV51001-1.2 AV51001-1.2 Built on the 28-nm low-power process technology, Arria V devices offer the lowest power and lowest system cost for mainstream

More information

Machine Vision Camera Interfaces. Korean Vision Show April 2012

Machine Vision Camera Interfaces. Korean Vision Show April 2012 Machine Vision Camera Interfaces Korean Vision Show April 2012 Vision Interfaces Page 1 Machine Vision Hardware Interface Standards PCI, CPCI V2.2, PCIe V2.x USB2, USB3 Vision IEEE1394 (no development

More information

H100 Series FPGA Application Accelerators

H100 Series FPGA Application Accelerators 2 H100 Series FPGA Application Accelerators Products in the H100 Series PCI-X Mainstream IBM EBlade H101-PCIXM» HPC solution for optimal price/performance» PCI-X form factor» Single Xilinx Virtex 4 FPGA

More information

QuickSpecs. HP Z 10GbE Dual Port Module. Models

QuickSpecs. HP Z 10GbE Dual Port Module. Models Overview Models Part Number: 1Ql49AA Introduction The is a 10GBASE-T adapter utilizing the Intel X722 MAC and X557-AT2 PHY pairing to deliver full line-rate performance, utilizing CAT 6A UTP cabling (or

More information

nforce 680i and 680a

nforce 680i and 680a nforce 680i and 680a NVIDIA's Next Generation Platform Processors Agenda Platform Overview System Block Diagrams C55 Details MCP55 Details Summary 2 Platform Overview nforce 680i For systems using the

More information