Architectural Aspects in Design and Analysis of SOTbased

Size: px
Start display at page:

Download "Architectural Aspects in Design and Analysis of SOTbased"

Transcription

1 Architectural Aspects in Design and Analysis of SOTbased Memories Rajendra Bishnoi, Mojtaba Ebrahimi, Fabian Oboril & Mehdi Tahoori INSTITUTE OF COMPUTER ENGINEERING (ITEC) CHAIR FOR DEPENDABLE NANO COMPUTING (CDNC) KIT University of the State of Baden-Wuerttemberg and National Research Center of the Helmholtz Association

2 Outline Motivation SOT based MRAM STT-MRAM & Limitations Basics of SOT-MRAM Simulation tool flow Results For various memory technologies System-level Summary & Conclusion ASPDAC

3 Outline Motivation SOT based MRAM STT-MRAM & Limitations Basics of SOT-MRAM Simulation tool flow Results For various memory technologies System-level Summary & Conclusion ASPDAC

4 Memory Hierarchy High Performance & Endurance SRAM DRAM FLASH High Leakage, Scalability Issue and Radiation Vulnerable Refresh, Scalability Issue and Destructive read Endurance, Scalability Issue and Radiation Vulnerable DISK High Capacity A Universal Memory Required to overcome these limitations Non Volatile Magnetic RAM is promising candidate ASPDAC

5 Motivation Perpendicular Anisotropy Spin Transfer Torque Spin Orbit Torque Results in Low Write Current Low Switching Time Avoid Read Disturb Separate Read & Write current Path STT-MRAM has potential to become universal memory technology However, obstacles are High write current & time Read Disturb Addressed using Spin Orbit Torque (SOT) ASPDAC

6 Outline Motivation SOT based MRAM STT-MRAM & Limitations Basics of SOT-MRAM Simulation tool flow Results For various memory technologies System-level Summary & Conclusion ASPDAC

7 Basics of Spin Transfer Torque (STT) Free Layer Barrier Oxide Layer, MgO Reference Layer Parallel Magnetisation (P) Low Resistance Anti- Parallel Magnetisation (AP) High Resistance Two ferromagnetic layers seperated by a oxide barrier layer Magnetic Tunneling Junction (MTJ) Cell is a storing device Value stored as a resistance state ASPDAC

8 Bit-cell using STT based MTJ cell Bit-cell has three terminals: Bit-Line Word-Line Source-Line Word-Line Source-Line Read & Write Current Path Read current is unidirectional Write current is bidirectional Possible Read Disturb Same path for read and write ASPDAC

9 Merits & Demerits of STT Merits: High Density Non-Volatility Scalability CMOS Compability Low Read Latency High Endurance High Retention Radiation Immune Demerits: High Write Power High Write Latency Aditional Layer requires Read Disturb ASPDAC

10 In-Plane Vs Perpendicular Anisotropy Parameters Diagram In-Plane Magnetic Anisotropy Perpendicular Magnetic Anisotropy Ratio of critical switching current to thermal stability, I C α η 1 + H d 2H k where, α= damping constant, η=stt efficiency, H d = demagnetization field, H k =in-plane anisotropy field switching current High Low α η switching time More Less Perpendicular magnetic anisotropy Low switching current Less switching time Read Disturb still remains challenge ASPDAC

11 Spin Orbit Torque Read Current Path Word-Line Source-Line Write Current Path Separate read and write current paths One additional terminal No read disturb Need not to maintain ratio of I Read IWrite Less current required to flip due to parallel magnetization Fast switching ASPDAC

12 STT-MRAM VS SOT-MRAM Parameter STT-MRAM SOT-MRAM Bit-cell Terminals (1T1MTJ type) 3 4 Access Transistor 8F 2F Current (ua) Write Current Period (ns) Read Disturb High Probability Almost Nil Read Energy (pj) Write Energy (pj) 3.9 (reset)/3.4(set) 0.1 Switching Behavior Asymmetrical Almost Symmetrical Magnetic Anisotropy In-Plane Perpendicular ASPDAC

13 Tool Simulation Flow Circuit-Level 1 SOT Model SPICE CMOS Model Bit-Cell Characteristics Memory Architecture-Level NVSIM Memory Configuration System-Level GEM5 Processor Configuration ASPDAC

14 Basic Memory Architecture Word-line drives the access transistor of bitcell Write Enable =1, for write operation Write Enable =0, for read operation ASPDAC

15 Simulation models STT-MTJ SPICE modelling framework presented in [ W. Guo, JAP-2010] SOT-MTJ Compact Verilog-A framework presented in [ K. Jabeur, IJESE-2013] CMOS General purpose TSMC 65nm models. ASPDAC

16 Tool Simulation Flow Circuit-Level SOT Model SPICE CMOS Model Memory Architecture-Level 2 NVSIM Memory Configuration Memory Characteristics System-Level GEM5 Processor Configuration ASPDAC

17 NVSIM flow Architecture related Information like Cell related Information like Type of Memory Cell Type Capacity Cell Area & Aspect Ratio Data Width On & Off Resistance Local & Global Wire Type Read power Routing Type Set & Reset Current Optimization Type Set & Reset time Array Organization Set & Reset Energy Design Constraint Access transistor Width Switch for CACTI assumption Following modification done: Cell information Memory architecture information Enable the asymmetrical write behavior Hierarchy Reports for given Memory Configuration ASPDAC

18 Tool Simulation Flow Circuit-Level SOT Model SPICE CMOS Model Memory Architecture-Level NVSIM Memory Configuration System-Level 3 GEM5 Processor Configuration Performance Number ASPDAC

19 Input & Output Parameters Processor Configuration Applications Memory design data Input Parameters GEM5 Simulator Extended GEM5 to support MRAM Changed uniform readwrite latency to nonuniform Performance Access numbers Hit/Miss rate Instruction-percycle Runtime Static Energy Leakage Power Dynamic Output Per Access Energy Parameters Energy Runtime Access Numbers NVSim GEM5 ASPDAC

20 Outline Motivation SOT based MRAM STT-MRAM & Limitations Basics of SOT-MRAM Simulation tool flow Results For various memory technologies System-level Summary & Conclusion ASPDAC

21 Comparison of various Memory Technologies Parameters SRAM NAND FLASH STT- MRAM SOT- MRAM PC- RAM R- RAM Area [mm 2 ] Read Latency [ns] Write Latency [ns] Read Energy [pj] Write Energy [pj] Leakage [mw] Values are extracted using NVSim for 512 Kbyte capacity Latency optimization ASPDAC

22 Area Comparison for various memory sizes STT & SOT are better with capacity increase SRAM is better Voltage sense amplifier used for SRAM High current drivers for STT and SOT SOT built on STT framework with different access transistor size ASPDAC

23 Read & Write Latency Comparison SRAM varies linearly with capacity increase STT & SOT, remain almost flat with capacity increase ASPDAC

24 Energy Comparison SOT has almost same read & write access energy ASPDAC

25 Leakage Comparisons SRAM varies linearly with capacity increase STT & SOT, leakage is due to periphery circuits ASPDAC

26 System-Level Evaluation Configuration details for Experiments: Processor : single core, 3 GHz L1-Cache : 32 Kbyte with 64B Data Width L2-Cache : 512 Kbyte with 64B Data Width Application (MiBench): BasicMath, BitCnt, Qsort, Dijkstra, Patricia, StrSearch, SHA, CRC, FFT ASPDAC

27 Comparisons with Various Cache conf. SRAM+SOT is best area combination. SOT+SOT is best energy configuration ASPDAC

28 Benchmark Analysis SOT only solution is best for low power. For runtime, the best combination is SRAM+SOT. ASPDAC

29 Outline Motivation SOT based MRAM STT-MRAM & Limitations Basics of SOT-MRAM Simulation tool flow Results For various memory technologies System-level Summary & Conclusion ASPDAC

30 Summary & Conclusion Developed hybrid memory architecture based on SOT-MRAM A cell-level information is extracted using SPICE simulations NVSim tool is explored to estimate the design data Many applications run using GEM5 simulator SOT is the best solution for low power Overall best is hybrid memory architecture SRAM+SOT ASPDAC

Evaluation of Hybrid Memory Technologies using SOT-MRAM for On-Chip Cache Hierarchy

Evaluation of Hybrid Memory Technologies using SOT-MRAM for On-Chip Cache Hierarchy 1 Evaluation of Hybrid Memory Technologies using SOT-MRAM for On-Chip Cache Hierarchy Fabian Oboril, Rajendra Bishnoi, Mojtaba Ebrahimi and Mehdi B. Tahoori Abstract Magnetic Random Access Memory (MRAM)

More information

Area, Power, and Latency Considerations of STT-MRAM to Substitute for Main Memory

Area, Power, and Latency Considerations of STT-MRAM to Substitute for Main Memory Area, Power, and Latency Considerations of STT-MRAM to Substitute for Main Memory Youngbin Jin, Mustafa Shihab, and Myoungsoo Jung Computer Architecture and Memory Systems Laboratory Department of Electrical

More information

The Engine. SRAM & DRAM Endurance and Speed with STT MRAM. Les Crudele / Andrew J. Walker PhD. Santa Clara, CA August

The Engine. SRAM & DRAM Endurance and Speed with STT MRAM. Les Crudele / Andrew J. Walker PhD. Santa Clara, CA August The Engine & DRAM Endurance and Speed with STT MRAM Les Crudele / Andrew J. Walker PhD August 2018 1 Contents The Leaking Creaking Pyramid STT-MRAM: A Compelling Replacement STT-MRAM: A Unique Endurance

More information

Unleashing MRAM as Persistent Memory

Unleashing MRAM as Persistent Memory Unleashing MRAM as Persistent Memory Andrew J. Walker PhD Spin Transfer Technologies Contents The Creaking Pyramid Challenges with the Memory Hierarchy What and Where is MRAM? State of the Art pmtj Unleashing

More information

Mohsen Imani. University of California San Diego. System Energy Efficiency Lab seelab.ucsd.edu

Mohsen Imani. University of California San Diego. System Energy Efficiency Lab seelab.ucsd.edu Mohsen Imani University of California San Diego Winter 2016 Technology Trend for IoT http://www.flashmemorysummit.com/english/collaterals/proceedi ngs/2014/20140807_304c_hill.pdf 2 Motivation IoT significantly

More information

Recent Advancements in Spin-Torque Switching for High-Density MRAM

Recent Advancements in Spin-Torque Switching for High-Density MRAM Recent Advancements in Spin-Torque Switching for High-Density MRAM Jon Slaughter Everspin Technologies 7th International Symposium on Advanced Gate Stack Technology, September 30, 2010 Everspin Technologies,

More information

Couture: Tailoring STT-MRAM for Persistent Main Memory. Mustafa M Shihab Jie Zhang Shuwen Gao Joseph Callenes-Sloan Myoungsoo Jung

Couture: Tailoring STT-MRAM for Persistent Main Memory. Mustafa M Shihab Jie Zhang Shuwen Gao Joseph Callenes-Sloan Myoungsoo Jung Couture: Tailoring STT-MRAM for Persistent Main Memory Mustafa M Shihab Jie Zhang Shuwen Gao Joseph Callenes-Sloan Myoungsoo Jung Executive Summary Motivation: DRAM plays an instrumental role in modern

More information

Cascaded Channel Model, Analysis, and Hybrid Decoding for Spin-Torque Transfer Magnetic Random Access Memory (STT-MRAM)

Cascaded Channel Model, Analysis, and Hybrid Decoding for Spin-Torque Transfer Magnetic Random Access Memory (STT-MRAM) 1/16 Cascaded Channel Model, Analysis, and Hybrid Decoding for Spin-Torque Transfer Magnetic Random Access Memory (STT-MRAM) Kui Cai 1, K.A.S Immink 2, and Zhen Mei 1 Advanced Coding and Signal Processing

More information

An Architecture-level Cache Simulation Framework Supporting Advanced PMA STT-MRAM

An Architecture-level Cache Simulation Framework Supporting Advanced PMA STT-MRAM An Architecture-level Cache Simulation Framework Supporting Advanced PMA STT-MRAM Bi Wu, Yuanqing Cheng,YingWang, Aida Todri-Sanial, Guangyu Sun, Lionel Torres and Weisheng Zhao School of Software Engineering

More information

A Low-Power Hybrid Magnetic Cache Architecture Exploiting Narrow-Width Values

A Low-Power Hybrid Magnetic Cache Architecture Exploiting Narrow-Width Values A Low-Power Hybrid Magnetic Cache Architecture Exploiting Narrow-Width Values Mohsen Imani, Abbas Rahimi, Yeseong Kim, Tajana Rosing Computer Science and Engineering, UC San Diego, La Jolla, CA 92093,

More information

Loadsa 1 : A Yield-Driven Top-Down Design Method for STT-RAM Array

Loadsa 1 : A Yield-Driven Top-Down Design Method for STT-RAM Array Loadsa 1 : A Yield-Driven Top-Down Design Method for STT-RAM Array Wujie Wen, Yaojun Zhang, Lu Zhang and Yiran Chen University of Pittsburgh Loadsa: a slang language means lots of Outline Introduction

More information

Spin-Hall Effect MRAM Based Cache Memory: A Feasibility Study

Spin-Hall Effect MRAM Based Cache Memory: A Feasibility Study Spin-Hall Effect MRAM Based Cache Memory: A Feasibility Study Jongyeon Kim, Bill Tuohy, Cong Ma, Won Ho Choi, Ibrahim Ahmed, David Lilja, and Chris H. Kim University of Minnesota Dept. of ECE 1 Overview

More information

Magnetoresistive RAM (MRAM) Jacob Lauzon, Ryan McLaughlin

Magnetoresistive RAM (MRAM) Jacob Lauzon, Ryan McLaughlin Magnetoresistive RAM (MRAM) Jacob Lauzon, Ryan McLaughlin Agenda Current solutions Why MRAM? What is MRAM? History How it works Comparisons Outlook Current Memory Types Memory Market primarily consists

More information

Designing Giga-scale Memory Systems with STT-RAM

Designing Giga-scale Memory Systems with STT-RAM Designing Giga-scale Memory Systems with STT-RAM A Dissertation Presented to the Faculty of the School of Engineering and Applied Science University of Virginia In Partial Fulfillment of the requirements

More information

Can MRAM be a factor for HPC?

Can MRAM be a factor for HPC? IC Power Consumption ITRS roadmap (W/cm2) Can MRAM be a factor for HPC? 1. Introduction 2. Can MRAM help? 3. Which MRAM? Logic is the major issue! Memory Wall High Performance Computing Current HPC! Pétaflops

More information

CMP annual meeting, January 23 rd, 2014

CMP annual meeting, January 23 rd, 2014 J.P.Nozières, G.Prenat, B.Dieny and G.Di Pendina Spintec, UMR-8191, CEA-INAC/CNRS/UJF-Grenoble1/Grenoble-INP, Grenoble, France CMP annual meeting, January 23 rd, 2014 ReRAM V wr0 ~-0.9V V wr1 V ~0.9V@5ns

More information

Evaluating STT-RAM as an Energy-Efficient Main Memory Alternative

Evaluating STT-RAM as an Energy-Efficient Main Memory Alternative Evaluating STT-RAM as an Energy-Efficient Main Memory Alternative Emre Kültürsay *, Mahmut Kandemir *, Anand Sivasubramaniam *, and Onur Mutlu * Pennsylvania State University Carnegie Mellon University

More information

OAP: An Obstruction-Aware Cache Management Policy for STT-RAM Last-Level Caches

OAP: An Obstruction-Aware Cache Management Policy for STT-RAM Last-Level Caches OAP: An Obstruction-Aware Cache Management Policy for STT-RAM Last-Level Caches Jue Wang, Xiangyu Dong, Yuan Xie Department of Computer Science and Engineering, Pennsylvania State University Qualcomm Technology,

More information

Improving Energy Efficiency of Write-asymmetric Memories by Log Style Write

Improving Energy Efficiency of Write-asymmetric Memories by Log Style Write Improving Energy Efficiency of Write-asymmetric Memories by Log Style Write Guangyu Sun 1, Yaojun Zhang 2, Yu Wang 3, Yiran Chen 2 1 Center for Energy-efficient Computing and Applications, Peking University

More information

Novel Nonvolatile Memory Hierarchies to Realize "Normally-Off Mobile Processors" ASP-DAC 2014

Novel Nonvolatile Memory Hierarchies to Realize Normally-Off Mobile Processors ASP-DAC 2014 Novel Nonvolatile Memory Hierarchies to Realize "Normally-Off Mobile Processors" ASP-DAC 2014 Shinobu Fujita, Kumiko Nomura, Hiroki Noguchi, Susumu Takeda, Keiko Abe Toshiba Corporation, R&D Center Advanced

More information

Steven Geiger Jackson Lamp

Steven Geiger Jackson Lamp Steven Geiger Jackson Lamp Universal Memory Universal memory is any memory device that has all the benefits from each of the main memory families Density of DRAM Speed of SRAM Non-volatile like Flash MRAM

More information

AC-DIMM: Associative Computing with STT-MRAM

AC-DIMM: Associative Computing with STT-MRAM AC-DIMM: Associative Computing with STT-MRAM Qing Guo, Xiaochen Guo, Ravi Patel Engin Ipek, Eby G. Friedman University of Rochester Published In: ISCA-2013 Motivation Prevalent Trends in Modern Computing:

More information

Test and Reliability of Emerging Non-Volatile Memories

Test and Reliability of Emerging Non-Volatile Memories Test and Reliability of Emerging Non-Volatile Memories Elena Ioana Vătăjelu, Lorena Anghel TIMA Laboratory, Grenoble, France Outline Emerging Non-Volatile Memories Defects and Fault Models Test Algorithms

More information

YEILD, AREA AND ENERGY OPTIMIZATION IN STT-MRAMS USING FAILURE- AWARE ECC

YEILD, AREA AND ENERGY OPTIMIZATION IN STT-MRAMS USING FAILURE- AWARE ECC YEILD, AREA AND ENERGY OPTIMIZATION IN STT-MRAMS USING FAILURE- AWARE ECC 39 ZOHA PAJOUHI, XUANYAO FONG, ANAND RAGHUNATHAN, KAUSHIK ROY, Purdue University Spin-Transfer Torque MRAMs are attractive due

More information

A REVIEW ON INTEGRATION OF SPIN RAM IN FPGA CIRCUITS

A REVIEW ON INTEGRATION OF SPIN RAM IN FPGA CIRCUITS A REVIEW ON INTEGRATION OF SPIN RAM IN FPGA CIRCUITS Parth Dhall, Ruchi Varshney Department of E&C Engineering, Moradabad Institute of Technology, Moradabad, Uttar Pradesh, India ABSTRACT In this paper,

More information

ODESY: A novel 3T-3MTJ cell design with Optimized area DEnsity, Scalability and latency

ODESY: A novel 3T-3MTJ cell design with Optimized area DEnsity, Scalability and latency ODESY: A novel cell design with Optimized area DEnsity, Scalability and latency Linuo Xue 1, Yuanqing Cheng 1, Jianlei Yang 2, Peiyuan Wang 3 and Yuan Xie 1 Department of Electrical and Computer Engineering,

More information

MTJ-Based Nonvolatile Logic-in-Memory Architecture

MTJ-Based Nonvolatile Logic-in-Memory Architecture 2011 Spintronics Workshop on LSI @ Kyoto, Japan, June 13, 2011 MTJ-Based Nonvolatile Logic-in-Memory Architecture Takahiro Hanyu Center for Spintronics Integrated Systems, Tohoku University, JAPAN Laboratory

More information

Don t Forget the Memory: Automatic Block RAM Modelling, Optimization, and Architecture Exploration

Don t Forget the Memory: Automatic Block RAM Modelling, Optimization, and Architecture Exploration Don t Forget the : Automatic Block RAM Modelling, Optimization, and Architecture Exploration S. Yazdanshenas, K. Tatsumura *, and V. Betz University of Toronto, Canada * Toshiba Corporation, Japan : An

More information

MRAM - present state-of and future challenges

MRAM - present state-of and future challenges MRAM - present state-of of-the-art and future challenges Dr G. Pan CRIST School of Computing, Communication & Electronics Faculty of Technology, University of Plymouth, Plymouth, PL4 8AA, UK Outline The

More information

Memory technology and optimizations ( 2.3) Main Memory

Memory technology and optimizations ( 2.3) Main Memory Memory technology and optimizations ( 2.3) 47 Main Memory Performance of Main Memory: Latency: affects Cache Miss Penalty» Access Time: time between request and word arrival» Cycle Time: minimum time between

More information

Couture: Tailoring STT-MRAM for Persistent Main Memory

Couture: Tailoring STT-MRAM for Persistent Main Memory : Tailoring for Persistent Main Memory Mustafa M Shihab 1, Jie Zhang 3, Shuwen Gao 2, Joseph Callenes-Sloan 1, and Myoungsoo Jung 3 1 University of Texas at Dallas, 2 Intel Corporation 3 School of Integrated

More information

Emerging NV Storage and Memory Technologies --Development, Manufacturing and

Emerging NV Storage and Memory Technologies --Development, Manufacturing and Emerging NV Storage and Memory Technologies --Development, Manufacturing and Applications-- Tom Coughlin, Coughlin Associates Ed Grochowski, Computer Storage Consultant 2014 Coughlin Associates 1 Outline

More information

! Memory Overview. ! ROM Memories. ! RAM Memory " SRAM " DRAM. ! This is done because we can build. " large, slow memories OR

! Memory Overview. ! ROM Memories. ! RAM Memory  SRAM  DRAM. ! This is done because we can build.  large, slow memories OR ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec 2: April 5, 26 Memory Overview, Memory Core Cells Lecture Outline! Memory Overview! ROM Memories! RAM Memory " SRAM " DRAM 2 Memory Overview

More information

SOLVING MANUFACTURING CHALLENGES AND BRINGING SPIN TORQUE MRAM TO THE MAINSTREAM

SOLVING MANUFACTURING CHALLENGES AND BRINGING SPIN TORQUE MRAM TO THE MAINSTREAM SEMICON Taipei SOLVING MANUFACTURING CHALLENGES AND BRINGING SPIN TORQUE MRAM TO THE MAINSTREAM Joe O Hare, Marketing Director Sanjeev Aggarwal, Ph.D., VP Manufacturing & Process Everspin Company Highlights

More information

Technology, Manufacturing and Markets of Magnetoresistive Random Access Memory (MRAM) Brad Engel, VP- Product Development & Quality

Technology, Manufacturing and Markets of Magnetoresistive Random Access Memory (MRAM) Brad Engel, VP- Product Development & Quality Technology, Manufacturing and Markets of Magnetoresistive Random Access Memory (MRAM) Brad Engel, VP- Product Development & Quality Everspin Electron Spin is Forever Industry-first and leading MRAM supplier

More information

Emerging NVM Enabled Storage Architecture:

Emerging NVM Enabled Storage Architecture: Emerging NVM Enabled Storage Architecture: From Evolution to Revolution. Yiran Chen Electrical and Computer Engineering University of Pittsburgh Sponsors: NSF, DARPA, AFRL, and HP Labs 1 Outline Introduction

More information

Cache Memory Configurations and Their Respective Energy Consumption

Cache Memory Configurations and Their Respective Energy Consumption Cache Memory Configurations and Their Respective Energy Consumption Dylan Petrae Department of Electrical and Computer Engineering University of Central Florida Orlando, FL 32816-2362 Abstract When it

More information

MRAM Developer Day 2018 MRAM Update

MRAM Developer Day 2018 MRAM Update MRAM Developer Day 2018 MRAM Update Barry Hoberman August 2018 1 Disclaimer Observations and opinions >35 years experience in wide variety of memory >12 years experience in MRAM 2012-2017 CEO/Chairman

More information

Phase Change Memory An Architecture and Systems Perspective

Phase Change Memory An Architecture and Systems Perspective Phase Change Memory An Architecture and Systems Perspective Benjamin C. Lee Stanford University bcclee@stanford.edu Fall 2010, Assistant Professor @ Duke University Benjamin C. Lee 1 Memory Scaling density,

More information

ABSTRACT. Mu-Tien Chang Doctor of Philosophy, 2013

ABSTRACT. Mu-Tien Chang Doctor of Philosophy, 2013 ABSTRACT Title of dissertation: TECHNOLOGY IMPLICATIONS FOR LARGE LAST-LEVEL CACHES Mu-Tien Chang Doctor of Philosophy, 3 Dissertation directed by: Professor Bruce Jacob Department of Electrical and Computer

More information

STT-RAM has recently attracted much attention, and it is

STT-RAM has recently attracted much attention, and it is 2346 IEEE TRANSACTIONS ON MAGNETICS, VOL. 48, NO. 8, AUGUST 2012 Architectural Exploration to Enable Sufficient MTJ Device Write Margin for STT-RAM Based Cache Hongbin Sun, Chuanyin Liu,TaiMin, Nanning

More information

This material is based upon work supported in part by Intel Corporation /DATE13/ c 2013 EDAA

This material is based upon work supported in part by Intel Corporation /DATE13/ c 2013 EDAA DWM-TAPESTRI - An Energy Efficient All-Spin Cache using Domain wall Shift based Writes Rangharajan Venkatesan, Mrigank Sharad, Kaushik Roy, and Anand Raghunathan School of Electrical and Computer Engineering,

More information

A Low Power 1Mbit MRAM based based on 1T1MTJ Bit Cell Integrated with Copper Interconnects

A Low Power 1Mbit MRAM based based on 1T1MTJ Bit Cell Integrated with Copper Interconnects A Low Power 1Mbit MRAM based based on 1T1MTJ Bit Cell Integrated with Copper Interconnects M. Durlam, P. Naji, A. Omair, M. DeHerrera, J. Calder, J. M. Slaughter, B. Engel, N. Rizzo, G. Grynkewich, B.

More information

Hybrid STT CMOS Designs for Reverse engineering Prevention

Hybrid STT CMOS Designs for Reverse engineering Prevention Hybrid STT CMOS Designs for Reverse engineering Prevention Theodore Winograd George Mason University Hassan Salmani* Howard University Hamid Mahmoodi San Francisco State University Kris Gaj George Mason

More information

Respin: Rethinking Near- Threshold Multiprocessor Design with Non-Volatile Memory

Respin: Rethinking Near- Threshold Multiprocessor Design with Non-Volatile Memory Respin: Rethinking Near- Threshold Multiprocessor Design with Non-Volatile Memory Computer Architecture Research Lab h"p://arch.cse.ohio-state.edu Universal Demand for Low Power Mobility Ba"ery life Performance

More information

Cache/Memory Optimization. - Krishna Parthaje

Cache/Memory Optimization. - Krishna Parthaje Cache/Memory Optimization - Krishna Parthaje Hybrid Cache Architecture Replacing SRAM Cache with Future Memory Technology Suji Lee, Jongpil Jung, and Chong-Min Kyung Department of Electrical Engineering,KAIST

More information

Flash TOSHIBA TOSHIBA

Flash TOSHIBA TOSHIBA Flash VOLATILE Mobile Application Low Power SDRAM Pseudo SRAM High Speed Application embedded edram PLEDM FBC memory Low Power Low Power SRAM QDR SRAM DDR SRAM Sigma RAM FeRAM High Speed MRAM OUM Universal

More information

Semiconductor Memory II Future Memory Trend

Semiconductor Memory II Future Memory Trend Semiconductor Memory II Future Memory Trend Seong-Ook Jung 2010. 4. 2. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Future memory trend

More information

emram: From Technology to Applications David Eggleston VP Embedded Memory

emram: From Technology to Applications David Eggleston VP Embedded Memory emram: From Technology to Applications David Eggleston VP Embedded Memory 10,000 foot view What are we trying to achieve? 2 Memory is Know Remembering. Think Events 3 Memory is Code Persistence. Data State

More information

Information Storage and Spintronics 10

Information Storage and Spintronics 10 Information Storage and Spintronics 10 Atsufumi Hirohata Department of Electronic Engineering 09:00 Tuesday, 30/October/2018 (J/Q 004) Quick Review over the Last Lecture Flash memory : NAND-flash writing

More information

Title: Redesigning Pipeline When Architecting STT-RAM as Registers in Rad-Hard Environment

Title: Redesigning Pipeline When Architecting STT-RAM as Registers in Rad-Hard Environment Title: Redesigning Pipeline When Architecting STT-RAM as Registers in Rad-Hard Environment Author: Zhiyao Gong Keni Qiu Weiwen Chen Yuanhui Ni Yuanchao Xu Jianlei Yang PII: S2210-5379(17)30432-8 DOI: https://doi.org/doi:10.1016/j.suscom.2018.09.001

More information

The Memory Hierarchy 1

The Memory Hierarchy 1 The Memory Hierarchy 1 What is a cache? 2 What problem do caches solve? 3 Memory CPU Abstraction: Big array of bytes Memory memory 4 Performance vs 1980 Processor vs Memory Performance Memory is very slow

More information

NAND Flash Memory. Jinkyu Jeong Computer Systems Laboratory Sungkyunkwan University

NAND Flash Memory. Jinkyu Jeong Computer Systems Laboratory Sungkyunkwan University NAND Flash Memory Jinkyu Jeong (Jinkyu@skku.edu) Computer Systems Laboratory Sungkyunkwan University http://csl.skku.edu ICE3028: Embedded Systems Design, Fall 2018, Jinkyu Jeong (jinkyu@skku.edu) Flash

More information

AOS: Adaptive Overwrite Scheme for Energy-Efficient MLC STT-RAM Cache

AOS: Adaptive Overwrite Scheme for Energy-Efficient MLC STT-RAM Cache AOS: Adaptive Overwrite Scheme for Energy-Efficient MLC STT-RAM Cache Xunchao Chen, Navid Khoshavi, Jian Zhou, Dan Huang, Ronald F. DeMara, Jun Wang Wujie Wen, Yiran Chen 3 Department of ECE, University

More information

Memory in Embedded Systems. Tajana Simunic Rosing Department of Computer Science and Engineering University of California, San Diego.

Memory in Embedded Systems. Tajana Simunic Rosing Department of Computer Science and Engineering University of California, San Diego. Memory in Embedded Systems Tajana Simunic Rosing Department of Computer Science and Engineering University of California, San Diego. Hardware platform architecture Traditional Memory Hierarchies Why SRAM

More information

Computing with Spintronics: Circuits and architectures

Computing with Spintronics: Circuits and architectures Purdue University Purdue e-pubs Open Access Dissertations Theses and Dissertations Fall 2014 Computing with Spintronics: Circuits and architectures Rangharajan Venkatesan Purdue University Follow this

More information

Emerging NVM Memory Technologies

Emerging NVM Memory Technologies Emerging NVM Memory Technologies Yuan Xie Associate Professor The Pennsylvania State University Department of Computer Science & Engineering www.cse.psu.edu/~yuanxie yuanxie@cse.psu.edu Position Statement

More information

Energy-Efficient Spin-Transfer Torque RAM Cache Exploiting Additional All-Zero-Data Flags

Energy-Efficient Spin-Transfer Torque RAM Cache Exploiting Additional All-Zero-Data Flags Energy-Efficient Spin-Transfer Torque RAM Cache Exploiting Additional All-Zero-Data Flags Jinwook Jung, Yohei Nakata, Masahiko Yoshimoto, and Hiroshi Kawaguchi Graduate School of System Informatics, Kobe

More information

SPINTRONIC MEMORY ARCHITECTURE

SPINTRONIC MEMORY ARCHITECTURE SPINTRONIC MEMORY ARCHITECTURE Anand Raghunathan Integrated Systems Laboratory School of ECE, Purdue University Rangharajan Venkatesan Shankar Ganesh Ramasubramanian, Ashish Ranjan Kaushik Roy 7 th NCN-NEEDS

More information

CS 320 February 2, 2018 Ch 5 Memory

CS 320 February 2, 2018 Ch 5 Memory CS 320 February 2, 2018 Ch 5 Memory Main memory often referred to as core by the older generation because core memory was a mainstay of computers until the advent of cheap semi-conductor memory in the

More information

Phase Change Memory An Architecture and Systems Perspective

Phase Change Memory An Architecture and Systems Perspective Phase Change Memory An Architecture and Systems Perspective Benjamin Lee Electrical Engineering Stanford University Stanford EE382 2 December 2009 Benjamin Lee 1 :: PCM :: 2 Dec 09 Memory Scaling density,

More information

CIRCUIT AND ARCHITECTURE CO-DESIGN OF STT-RAM FOR HIGH PERFORMANCE AND LOW ENERGY. by Xiuyuan Bi Master of Science, New York University, 2010

CIRCUIT AND ARCHITECTURE CO-DESIGN OF STT-RAM FOR HIGH PERFORMANCE AND LOW ENERGY. by Xiuyuan Bi Master of Science, New York University, 2010 CIRCUIT AND ARCHITECTURE CO-DESIGN OF STT-RAM FOR HIGH PERFORMANCE AND LOW ENERGY by Xiuyuan Bi Master of Science, New York University, 2010 Submitted to the Graduate Faculty of the Swanson School of Engineering

More information

ROSS: A Design of Read-Oriented STT-MRAM Storage for Energy-Efficient Non-Uniform Cache Architecture

ROSS: A Design of Read-Oriented STT-MRAM Storage for Energy-Efficient Non-Uniform Cache Architecture ROSS: A Design of Read-Oriented STT-MRAM Storage for Energy-Efficient Non-Uniform Cache Architecture Jie Zhang, Miryeong Kwon, Changyoung Park, Myoungsoo Jung, Songkuk Kim Computer Architecture and Memory

More information

Memories. Design of Digital Circuits 2017 Srdjan Capkun Onur Mutlu.

Memories. Design of Digital Circuits 2017 Srdjan Capkun Onur Mutlu. Memories Design of Digital Circuits 2017 Srdjan Capkun Onur Mutlu http://www.syssec.ethz.ch/education/digitaltechnik_17 Adapted from Digital Design and Computer Architecture, David Money Harris & Sarah

More information

Semiconductor Memory Classification. Today. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. CPU Memory Hierarchy.

Semiconductor Memory Classification. Today. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. CPU Memory Hierarchy. ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec : April 4, 7 Memory Overview, Memory Core Cells Today! Memory " Classification " ROM Memories " RAM Memory " Architecture " Memory core " SRAM

More information

An Outlook of MRAM Technology Potential

An Outlook of MRAM Technology Potential 1 An Outlook of MRAM Technology Potential Arif H. Ahmed, Casey P. Rodriguez, Steven Wright, and Justin C. Zito M I. INTRODUCTION AGNETORESISTIVE Random Access Memory, or MRAM, is showing promising potential

More information

Design Method of Stacked Type MRAM. with NAND Structured Cell

Design Method of Stacked Type MRAM. with NAND Structured Cell Contemporary Engineering Sciences, Vol. 6, 2013, no. 2, 69-86 HIKARI Ltd, www.m-hikari.com Design Method of Stacked Type MRAM with NAND Structured Cell Shoto Tamai Oi Electric Co. LTd. Kohoku-ku, Yokohama,

More information

A Brief Compendium of On Chip Memory Highlighting the Tradeoffs Implementing SRAM,

A Brief Compendium of On Chip Memory Highlighting the Tradeoffs Implementing SRAM, A Brief Compendium of On Chip Memory Highlighting the Tradeoffs Implementing, RAM, or edram Justin Bates Department of Electrical and Computer Engineering University of Central Florida Orlando, FL 3816-36

More information

Efficient STT-RAM Last-Level-Cache Architecture to replace DRAM Cache

Efficient STT-RAM Last-Level-Cache Architecture to replace DRAM Cache Efficient STT-RAM Last-Level-Cache Architecture to replace DRAM Cache Fazal Hameed fazal.hameed@tu-dresden.de Technische Universität Dresden Center for Advancing Electronics Dresden Germany Christian Menard

More information

VM Futures Panel: Are We Near the Beginning of a New Solid State Epoch, or Is That Just a Big Firebal in the Sky?

VM Futures Panel: Are We Near the Beginning of a New Solid State Epoch, or Is That Just a Big Firebal in the Sky? VM Futures Panel: Are We Near the Beginning of a New Solid State Epoch, or Is That Just a Big Fireball in the Sky? Moderator: Tom Coughlin, Coughlin Associates Panelists: Dave Eggleston, Intuitive Cognition

More information

STT-RAM for Shared Memory in GPUs

STT-RAM for Shared Memory in GPUs STT-RAM for Shared Memory in GPUs A Thesis Presented to the Faculty of the School of Engineering and Applied Science University of Virginia In Partial Fulfillment of the requirements for the Degree Master

More information

Hybrid Cache Architecture (HCA) with Disparate Memory Technologies

Hybrid Cache Architecture (HCA) with Disparate Memory Technologies Hybrid Cache Architecture (HCA) with Disparate Memory Technologies Xiaoxia Wu, Jian Li, Lixin Zhang, Evan Speight, Ram Rajamony, Yuan Xie Pennsylvania State University IBM Austin Research Laboratory Acknowledgement:

More information

Compiler-Assisted Refresh Minimization for Volatile STT-RAM Cache

Compiler-Assisted Refresh Minimization for Volatile STT-RAM Cache Compiler-Assisted Refresh Minimization for Volatile STT-RAM Cache Qingan Li, Jianhua Li, Liang Shi, Chun Jason Xue, Yiran Chen, Yanxiang He City University of Hong Kong University of Pittsburg Outline

More information

ECE 152 Introduction to Computer Architecture

ECE 152 Introduction to Computer Architecture Introduction to Computer Architecture Main Memory and Virtual Memory Copyright 2009 Daniel J. Sorin Duke University Slides are derived from work by Amir Roth (Penn) Spring 2009 1 Where We Are in This Course

More information

Silicon Memories. Why store things in silicon? It s fast!!! Compatible with logic devices (mostly)

Silicon Memories. Why store things in silicon? It s fast!!! Compatible with logic devices (mostly) Memories and SRAM 1 Silicon Memories Why store things in silicon? It s fast!!! Compatible with logic devices (mostly) The main goal is to be cheap Dense -- The smaller the bits, the less area you need,

More information

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 6T- SRAM for Low Power Consumption Mrs. J.N.Ingole 1, Ms.P.A.Mirge 2 Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 PG Student [Digital Electronics], Dept. of ExTC, PRMIT&R,

More information

Couture: Tailoring STT-MRAM for Persistent Main Memory

Couture: Tailoring STT-MRAM for Persistent Main Memory : Tailoring for Persistent Main Memory Abstract Modern computer systems rely extensively on dynamic random-access memory () to bridge the performance gap between on-chip cache and secondary storage. However,

More information

International Journal of Information Research and Review Vol. 05, Issue, 02, pp , February, 2018

International Journal of Information Research and Review Vol. 05, Issue, 02, pp , February, 2018 International Journal of Information Research and Review, February, 2018 International Journal of Information Research and Review Vol. 05, Issue, 02, pp.5221-5225, February, 2018 RESEARCH ARTICLE A GREEN

More information

Maximize energy efficiency in a normally-off system using NVRAM. Stéphane Gros Yeter Akgul

Maximize energy efficiency in a normally-off system using NVRAM. Stéphane Gros Yeter Akgul Maximize energy efficiency in a normally-off system using NVRAM Stéphane Gros Yeter Akgul Summary THE COMPANY THE CONTEXT THE TECHNOLOGY THE SYSTEM THE CO-DEVELOPMENT CONCLUSION May 31, 2017 2 Summary

More information

Versatile RRAM Technology and Applications

Versatile RRAM Technology and Applications Versatile RRAM Technology and Applications Hagop Nazarian Co-Founder and VP of Engineering, Crossbar Inc. Santa Clara, CA 1 Agenda Overview of RRAM Technology RRAM for Embedded Memory Mass Storage Memory

More information

Embedded System Application

Embedded System Application Laboratory Embedded System Application 4190.303C 2010 Spring Semester ROMs, Non-volatile and Flash Memories ELPL Naehyuck Chang Dept. of EECS/CSE Seoul National University naehyuck@snu.ac.kr Revisit Previous

More information

Scalable High Performance Main Memory System Using PCM Technology

Scalable High Performance Main Memory System Using PCM Technology Scalable High Performance Main Memory System Using PCM Technology Moinuddin K. Qureshi Viji Srinivasan and Jude Rivers IBM T. J. Watson Research Center, Yorktown Heights, NY International Symposium on

More information

Concept of Memory. The memory of computer is broadly categories into two categories:

Concept of Memory. The memory of computer is broadly categories into two categories: Concept of Memory We have already mentioned that digital computer works on stored programmed concept introduced by Von Neumann. We use memory to store the information, which includes both program and data.

More information

Reconfigurable RRAM-based computing: A Case study for reliability enhancement

Reconfigurable RRAM-based computing: A Case study for reliability enhancement Rochester Institute of Technology RIT Scholar Works Theses Thesis/Dissertation Collections 8-1-2012 Reconfigurable RRAM-based computing: A Case study for reliability enhancement Matthew Catanzaro Follow

More information

DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422)

DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) COURSE / CODE DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) Memory In computing, memory refers to the computer hardware devices used to store information for immediate use

More information

(Advanced) Computer Organization & Architechture. Prof. Dr. Hasan Hüseyin BALIK (5 th Week)

(Advanced) Computer Organization & Architechture. Prof. Dr. Hasan Hüseyin BALIK (5 th Week) + (Advanced) Computer Organization & Architechture Prof. Dr. Hasan Hüseyin BALIK (5 th Week) + Outline 2. The computer system 2.1 A Top-Level View of Computer Function and Interconnection 2.2 Cache Memory

More information

Lecture 8: Virtual Memory. Today: DRAM innovations, virtual memory (Sections )

Lecture 8: Virtual Memory. Today: DRAM innovations, virtual memory (Sections ) Lecture 8: Virtual Memory Today: DRAM innovations, virtual memory (Sections 5.3-5.4) 1 DRAM Technology Trends Improvements in technology (smaller devices) DRAM capacities double every two years, but latency

More information

Flash Memory. Gary J. Minden November 12, 2013

Flash Memory. Gary J. Minden November 12, 2013 Flash Memory Gary J. Minden November 12, 2013 1 Memory Types Static Random Access Memory (SRAM) Register File Cache Memory on Processor Dynamic Random Access Memory (DRAM, SDRAM) Disk Solid State Disk

More information

Non-Volatile Processor Based on MRAM for Ultra-Low-Power IoT Devices

Non-Volatile Processor Based on MRAM for Ultra-Low-Power IoT Devices Non-Volatile Processor Based on MRAM for Ultra-Low-Power IoT Devices Sophiane Senni, Lionel Torres, Gilles Sassatelli, Abdoulaye Gamatié To cite this version: Sophiane Senni, Lionel Torres, Gilles Sassatelli,

More information

Chapter 3 Semiconductor Memories. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

Chapter 3 Semiconductor Memories. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Chapter 3 Semiconductor Memories Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Introduction Random Access Memories Content Addressable Memories Read

More information

Reliable Computing I

Reliable Computing I Instructor: Mehdi Tahoori Reliable Computing I Lecture 8: Redundant Disk Arrays INSTITUTE OF COMPUTER ENGINEERING (ITEC) CHAIR FOR DEPENDABLE NANO COMPUTING (CDNC) National Research Center of the Helmholtz

More information

Internal Memory. Computer Architecture. Outline. Memory Hierarchy. Semiconductor Memory Types. Copyright 2000 N. AYDIN. All rights reserved.

Internal Memory. Computer Architecture. Outline. Memory Hierarchy. Semiconductor Memory Types. Copyright 2000 N. AYDIN. All rights reserved. Computer Architecture Prof. Dr. Nizamettin AYDIN naydin@yildiz.edu.tr nizamettinaydin@gmail.com Internal Memory http://www.yildiz.edu.tr/~naydin 1 2 Outline Semiconductor main memory Random Access Memory

More information

IEEE TRANSACTIONS ON COMPUTERS, VOL. XX, NO. X, AUGUST TA-LRW: A Replacement Policy for Error Rate Reduction in STT-MRAM Caches

IEEE TRANSACTIONS ON COMPUTERS, VOL. XX, NO. X, AUGUST TA-LRW: A Replacement Policy for Error Rate Reduction in STT-MRAM Caches IEEE TRANSACTIONS ON COMPUTERS, VOL. XX, NO. X, AUGUST 2018 1 : A Replacement Policy for Error Rate Reduction in STT-MRAM Caches Elham Cheshmikhani, Hamed Farbeh, Seyed Ghassem Miremadi, Senior Member,

More information

Scalable Many-Core Memory Systems Lecture 3, Topic 2: Emerging Technologies and Hybrid Memories

Scalable Many-Core Memory Systems Lecture 3, Topic 2: Emerging Technologies and Hybrid Memories Scalable Many-Core Memory Systems Lecture 3, Topic 2: Emerging Technologies and Hybrid Memories Prof. Onur Mutlu http://www.ece.cmu.edu/~omutlu onur@cmu.edu HiPEAC ACACES Summer School 2013 July 17, 2013

More information

Memory hierarchy Outline

Memory hierarchy Outline Memory hierarchy Outline Performance impact Principles of memory hierarchy Memory technology and basics 2 Page 1 Performance impact Memory references of a program typically determine the ultimate performance

More information

A Coherent Hybrid SRAM and STT-RAM L1 Cache Architecture for Shared Memory Multicores

A Coherent Hybrid SRAM and STT-RAM L1 Cache Architecture for Shared Memory Multicores A Coherent Hybrid and L1 Cache Architecture for Shared Memory Multicores Jianxing Wang, Yenni Tim Weng-Fai Wong, Zhong-Liang Ong Zhenyu Sun, Hai (Helen) Li School of Computing Swanson School of Engineering

More information

ELE 455/555 Computer System Engineering. Section 1 Review and Foundations Class 3 Technology

ELE 455/555 Computer System Engineering. Section 1 Review and Foundations Class 3 Technology ELE 455/555 Computer System Engineering Section 1 Review and Foundations Class 3 MOSFETs MOSFET Terminology Metal Oxide Semiconductor Field Effect Transistor 4 terminal device Source, Gate, Drain, Body

More information

DESIGN AND PERFORMANCE ANALYSIS OF A NONVOLATILE MEMORY CELL

DESIGN AND PERFORMANCE ANALYSIS OF A NONVOLATILE MEMORY CELL DESIGN AND PERFORMANCE ANALYSIS OF A NONVOLATILE MEMORY CELL 1 M. Vasudha, 2 B. Sri Pravallika, 3 Ch. Sai Kiran, 4 P. Subhani, 5 G. Rakesh Chowdary, 6 M Durga Prakash, 7 K Hari Kishore, 8 T.V. Ramakrishna

More information

The Long & Winding Road to Persistent Memories Jim Handy, Objective Analysis Tom Coughlin, Coughlin Associates

The Long & Winding Road to Persistent Memories Jim Handy, Objective Analysis Tom Coughlin, Coughlin Associates The Long & Winding Road to Persistent Memories Jim Handy, Objective Analysis Tom Coughlin, Coughlin Associates 2018 Storage Developer Conference. Objective Analysis & Coughlin Assoc.. All Rights Reserved.

More information

William Stallings Computer Organization and Architecture 6th Edition. Chapter 5 Internal Memory

William Stallings Computer Organization and Architecture 6th Edition. Chapter 5 Internal Memory William Stallings Computer Organization and Architecture 6th Edition Chapter 5 Internal Memory Semiconductor Memory Types Semiconductor Memory RAM Misnamed as all semiconductor memory is random access

More information