Run Pac-man Game Based on 8086/8088 FPGA IP Core. Authors: Kevin Xie, Michael Ye, Winston Zhu Last update: 1 st, August, 2007

Size: px
Start display at page:

Download "Run Pac-man Game Based on 8086/8088 FPGA IP Core. Authors: Kevin Xie, Michael Ye, Winston Zhu Last update: 1 st, August, 2007"

Transcription

1 Run Pac-man Game Based on 8086/8088 FPGA IP Core Authors: Kevin Xie, Michael Ye, Winston Zhu Last update: 1 st, August, 2007 Overview On this page you will find a simple Pac-man game running on free FPGA IP Core of an 8086/8088 processor. This project consists of a CPU86 Core, three Memory Units and a VGA Controller Unit. This system consumes 68% of logic slices, 2 GCLKs, 1Mult, 1 DCM and 100% of block RAMs which is 40KB of Spartan-3E500 board, and can run at a frequency of up to 32.44MHz. License Agreement The CPU86 source code is licensed under Aladdin Free Public License (AFPL). The CPU86 source code was originally developed by HT-LAB and then appreciably modified by Winston Zhu so as to be compatible with Xilinx Tools and Boards. The remaining source files are released under GNU General Public License. Download Source Files & Tools Attention: Downloading the following files means that you have read and accepted the licenses listed above. Make sure your running a virus checker on the exe files before executing them! Download Hardware RTL Source Code files (version 1.0, 7.70MB, zipped); Download Software C Code files (version 1.0, with comtool and bin2txt,444kb, zipped); Function Description When the CPU resets, it starts from address FFFF: 0000, just as a real 8086/8088 processor. After cold start, the CPU fetches an instruction from the RAM in which Pac-man program resides, executes that instruction, and writes data to the display buffer if needed, then fetches next

2 instruction iteratively. The VGA controller model reads data from display buffer, then generates color and synchronous signals to drive the display terminal such as CRT or LCD. The data will be continually updated by CPU until Pac-man program terminated as a result of meeting some given conditions, thus we can see the game animation changes. Detailed Hardware Design 50MHz DCM abus(19:0) 50MHz 8086/ 8088 CPU dbus_out dbus_in abus(7:0) Bootstrap ROM dout_rom cs=abus(19:13) abus(12:0) Program Resident RAM dout_text din_text cs=abus(19:15) din_disp abus(14:0) VGA Buffer RAM UART Debugger disp_addr disp_data keyin VGA Controller Player Controller red green blue Hsync Vsync Figure 1 Signal Flow Graph of Entire System U_0: cpu86 The CPU86 Core consists of an 8088 Processor Core, an 8-bit Bus Interface Unit and a Hardware Debug Unit. The hardware debug unit is used to interrogate the internal registers during single stepping. The output of the debug unit is written in a DOS style format to a build-in fixed frame UART. The CPU86 Core is fully binary/instruction compatible with an 8086/8088 processor, it is however not cycle and timing accurate. For detailed information about CPU86, please refer to HT-LAB. U_1: superio The superio model is a build-in fixed frame UART which defaults to Baud rate, no parity, 8 data bits and 1 stop bit, no hardware data flow control at a clock frequency of 16.67MHz. It reads what the hardware debugger outputs and writes the programmer s commands to the hardware debugger. The Baud rate can be set to what you want by modifying the clock divider settings. It is recommended that you replace this model with a UART model, which supports interrupts.

3 U_2: embedded_rom The embedded ROM is filled with nothing more than a JMP instruction to address 0000:0400, which is the cold start address of the Pac-man program. As is known to all, any CPU based on X86 instruction framework will force its CS: IP to FFFF: 0000 when reset signal is active, thus the JMP instruction mentioned above should be located to FFFF: The ROM is constructed with 256B distributed memory and is assigned with address from FFF0:0000-FFF0:00FF. There are 3 pins for the ROM: clk: system clock input signal, should be synchronous with a: 8 bits address input signal which is connected to the lower 8 bits of address bus (abus) of spo: 8 bits data output signal which is connected to the 8 bits data input bus (dbus_in) of U_5: textram The single port ram textram is constructed with 4 pieces, that is, 8KB of block RAM, and is assigned with address from 0000: 0000 to 0000: 1FFF. It is divided into three parts. The first 1KB address space is reserved for interrupt vector table, and is now filled with NOP instructions. The following address space is resided by Pac-man program, which takes about 5KB. The last space, about 2KB, is reserved for stack segment, in which temporary data of Pac-man program is kept. There are 5 pins for the RAM: clk: system clock input signal, should be synchronous with addr: 13 bits address input signal which is connected to the lower 13 bits of address bus (abus) of din: 8 bits data input signal which is connected to the 8 bits data output bus (dbus_out) of dout: 8 bits data output signal which is connected to the 8 bits data input bus (dbus_in) of we: write enable signal, active high, determined by chip select signal (cs_text) and CPU write enable signal (wrn);

4 U_6: disp_ram Since the VGA interface of Spartan-3E500 board supports only 8 colors, at least 3 bits should be used to represent one pixel. In order not to increase the complexity of VGA controller, I choose to use 4 bits to represent one pixel, that is, 1 byte for 2 pixels. N/A Red Green Blue N/A Red Green Blue For a display buffer of 200 lines with 320 pixels in each line, the size of display buffer is 320*200*0.5=32KB. As a result, the dual ports ram disp_ram, used as display buffer, is constructed with 16 pieces, that is, 32KB of block RAM, and is assigned with address from A000: 0000 to A000: 7FFF. The higher 4 bits of the byte in the beginner of this ram indicate color of the pixel at top left corner, followed by pixels in first line from left to right, then other lines from top to bottom. There are 8 pins for the RAM: For Port A (read and write): clka: system clock input signal, should be synchronous with addra: 15 bits address input signal which is connected to the lower 15 bits of address bus (abus) of dina: 8 bits data input signal which is connected to the 8 bits data output bus (dbus_out) of douta: 8 bits data output signal which is connected to the 8 bits data input bus (dbus_in) of wea: write enable signal, active high, determined by chip select signal (cs_disp) and CPU write enable signal (wrn); For Port B (rean only): clkb: clock input signal of VGA controller, should be synchronous with VGA controller; addrb: 15 bits address input signal which is connected to 15bits address output of VGA controller (disp_addr); doutb: 8 bits data output signal which is connected to the 8 bits data input of VGA controller (disp_dout); U_7 disp This VGA controller is designed to interface with CPU via display buffer. The resolution of the VGA

5 interface is Hz in order to make sure that this controller can drive most CRT/LCD on the market. The following timing parameters can be referred to when designing such a VGA controller. There are 9 pins for VGA controller: reset: input signal used to clear the registers of internal counters, active high, synchronous to clock, must longer than 1 clock cycle in order to make sure reset aptitude. clk: clock input signal of VGA controller; addr_out: 15 bits address output signal to display buffer, connected with disp_addr; data_in: 8 bits data input signal from display buffer,connected with disp_dout; red: output signal, the red signal of VGA interface; green: output signal, the green signal of VGA interface; blue: output signal, the blue signal of VGA interface; Hsync: output signal, the Hsync signal of VGA interface; Vsync: output signal, the Vsync signal of VGA interface; U_8: DCM DCM is used to generate proper clock signals for the system. Here I d like system clock and VGA clock both to be. Top In this module, not only all sub components are described and mapped, but also player controller and address bus decoder are defined. The player controller scans external input buttons unceasingly and sends what it receives to CPU when there is a non-zero input. The address bus decoder generates chip select signals according to the higher bits of address bus.

XiNES Design Document. XiNES is a Nintendo Entertainment System simulator coded in pure VHDL

XiNES Design Document. XiNES is a Nintendo Entertainment System simulator coded in pure VHDL XiNES Design Document William Blinn (wb169@columbia.edu) David Coulthart (davec@columbia.edu) Jay Fernandez (jjf112@columbia.ed) Neel Goyal (neel@columbia.edu) Jeffrey Lin (jlin@columbia.edu) XiNES is

More information

LogiCORE IP Block Memory Generator v6.1

LogiCORE IP Block Memory Generator v6.1 LogiCORE IP Block Memory Generator v6.1 DS512 March 1, 2011 Introduction The Xilinx LogiCORE IP Block Memory Generator (BMG) core is an advanced memory constructor that generates area and performance-optimized

More information

EECS150 - Digital Design Lecture 16 - Memory

EECS150 - Digital Design Lecture 16 - Memory EECS150 - Digital Design Lecture 16 - Memory October 17, 2002 John Wawrzynek Fall 2002 EECS150 - Lec16-mem1 Page 1 Memory Basics Uses: data & program storage general purpose registers buffering table lookups

More information

LogiCORE IP Block Memory Generator v7.1

LogiCORE IP Block Memory Generator v7.1 LogiCORE IP Block Memory Generator v7.1 DS512 April 24, 2012 Introduction The Xilinx LogiCORE IP Block Memory Generator (BMG) core is an advanced memory constructor that generates area and performance-optimized

More information

EECS150 - Digital Design Lecture 16 Memory 1

EECS150 - Digital Design Lecture 16 Memory 1 EECS150 - Digital Design Lecture 16 Memory 1 March 13, 2003 John Wawrzynek Spring 2003 EECS150 - Lec16-mem1 Page 1 Memory Basics Uses: Whenever a large collection of state elements is required. data &

More information

IP cores. V. Angelov

IP cores. V. Angelov IP cores V. Angelov VHDL-FPGA@PI 2013 1 IP cores Soft IP cores Hard IP cores ROM, RAM, FIFO RISC CPU DSP - Multiplier SerDes Flash memory (boot, user) PCI, PCIe JTAG V. Angelov VHDL-FPGA@PI 2013 2 Soft

More information

Hardware Design with VHDL Design Example: BRAM ECE 443

Hardware Design with VHDL Design Example: BRAM ECE 443 BRAM There are two sources of memory available on most FPGA boards. Internal (on-chip memory) External SRAMs and DRAMs. Internal memory is either distributed (from the LUTs) or block (dedicated on-chip

More information

Background on Bloom Filter

Background on Bloom Filter CSE 535 : Lecture 5 String Matching with Bloom Filters Washington University Fall 23 http://www.arl.wustl.edu/arl/projects/fpx/cse535/ Copyright 23, Sarang Dharmapurikar [Guest Lecture] CSE 535 : Fall

More information

Basic FPGA Architecture Xilinx, Inc. All Rights Reserved

Basic FPGA Architecture Xilinx, Inc. All Rights Reserved Basic FPGA Architecture 2005 Xilinx, Inc. All Rights Reserved Objectives After completing this module, you will be able to: Identify the basic architectural resources of the Virtex -II FPGA List the differences

More information

History and Basic Processor Architecture

History and Basic Processor Architecture History and Basic Processor Architecture History of Computers Module 1 Section 1 What Is a Computer? An electronic machine, operating under the control of instructions stored in its own memory, that can

More information

Microprocessor Architecture. mywbut.com 1

Microprocessor Architecture. mywbut.com 1 Microprocessor Architecture mywbut.com 1 Microprocessor Architecture The microprocessor can be programmed to perform functions on given data by writing specific instructions into its memory. The microprocessor

More information

EITF35 - Introduction to Structured VLSI Design (Fall 2017) Course projects

EITF35 - Introduction to Structured VLSI Design (Fall 2017) Course projects 1 EITF35 - Introduction to Structured VLSI Design (Fall 2017) Course projects v.1.0.0 1 Introduction This document describes the course projects provided in EITF35 Introduction to Structured VLSI Design

More information

How to use the IP generator from Xilinx to instantiate IP cores

How to use the IP generator from Xilinx to instantiate IP cores ÁÌ ¹ ÁÒØÖÓ ÙØ ÓÒ ØÓ ËØÖÙØÙÖ ÎÄËÁ Ò ÐÐ ¾¼½ µ ÓÙÖ ÔÖÓ Ø Úº½º¼º¼ 1 Introduction This document describes the course projects provided in EITF35 Introduction to Structured VLSI Design conducted at EIT, LTH.

More information

6.111 Final Project Jonathan Downey Lauri Kauppila Brian Myhre

6.111 Final Project Jonathan Downey Lauri Kauppila Brian Myhre 6.111 Final Project Jonathan Downey Lauri Kauppila Brian Myhre Project Motivation Distinct Sub-systems Complex Behavior and Architecture FPGA Required Not Possible with Microcontroller Large Amount of

More information

V8-uRISC 8-bit RISC Microprocessor AllianceCORE Facts Core Specifics VAutomation, Inc. Supported Devices/Resources Remaining I/O CLBs

V8-uRISC 8-bit RISC Microprocessor AllianceCORE Facts Core Specifics VAutomation, Inc. Supported Devices/Resources Remaining I/O CLBs V8-uRISC 8-bit RISC Microprocessor February 8, 1998 Product Specification VAutomation, Inc. 20 Trafalgar Square Nashua, NH 03063 Phone: +1 603-882-2282 Fax: +1 603-882-1587 E-mail: sales@vautomation.com

More information

PS2 VGA Peripheral Based Arithmetic Application Using Micro Blaze Processor

PS2 VGA Peripheral Based Arithmetic Application Using Micro Blaze Processor PS2 VGA Peripheral Based Arithmetic Application Using Micro Blaze Processor K.Rani Rudramma 1, B.Murali Krihna 2 1 Assosiate Professor,Dept of E.C.E, Lakireddy Bali Reddy Engineering College, Mylavaram

More information

Control Unit: The control unit provides the necessary timing and control Microprocessor resembles a CPU exactly.

Control Unit: The control unit provides the necessary timing and control Microprocessor resembles a CPU exactly. Unit I 8085 and 8086 PROCESSOR Introduction to microprocessor A microprocessor is a clock-driven semiconductor device consisting of electronic logic circuits manufactured by using either a large-scale

More information

EE 459/500 HDL Based Digital Design with Programmable Logic. Lecture 15 Memories

EE 459/500 HDL Based Digital Design with Programmable Logic. Lecture 15 Memories EE 459/500 HDL Based Digital Design with Programmable Logic Lecture 15 Memories 1 Overview Introduction Memories Read Only Memories Random Access Memories FIFOs 2 1 Motivation Most applications need memory!

More information

C O M P A N Y O V E R V I E W

C O M P A N Y O V E R V I E W C O M P A N Y O V E R V I E W Digital Core Design is a leading IP Core provider and a System-on-Chip design house. The company was founded in 1999 and since the very beginning has been focused on IP Core

More information

A Video Controller for an FPGA. Dual-Ported RAM

A Video Controller for an FPGA. Dual-Ported RAM A Video Controller for an FPGA On-Chip A Video Controller Prof. Stephen A. Edwards sedwards@cs.columbia.edu NCTU, Summer 2005 Project specification: Flexible video controller Driven by C from the Microblaze

More information

Architecture of 8085 microprocessor

Architecture of 8085 microprocessor Architecture of 8085 microprocessor 8085 consists of various units and each unit performs its own functions. The various units of a microprocessor are listed below Accumulator Arithmetic and logic Unit

More information

NES FPGA Emulator. Sergio Morales Hector Dominguez Omar Torres Randy Truong Kevin Mitton

NES FPGA Emulator. Sergio Morales Hector Dominguez Omar Torres Randy Truong Kevin Mitton NES FPGA Emulator UCR CS 179J Sergio Morales Hector Dominguez Omar Torres Randy Truong Kevin Mitton SUMMER 2014 Who are We? Computer Science and Engineering Students trying to implement an NES emulator

More information

Documentation Design File Formats

Documentation Design File Formats PIC165X Fast RISC Microcontroller (DFPIC165X) July 16, 2008 Product Specification Digital Core Design Wroclawska 94 41-902 Bytom Poland Phone : +48 32 2828266 Fax : +48 32 2827437 E-mail : info@dcd.pl

More information

Fig. 1: Controlled Register

Fig. 1: Controlled Register Memory Design In digital system design, the usage of memory elements is unavoidable. In some of the systems, data can be pre-stored in a constant memory. Memory elements can be used as temporary storage

More information

QUESTION BANK. EE 6502 / Microprocessor and Microcontroller. Unit I Processor. PART-A (2-Marks)

QUESTION BANK. EE 6502 / Microprocessor and Microcontroller. Unit I Processor. PART-A (2-Marks) QUESTION BANK EE 6502 / Microprocessor and Microcontroller Unit I- 8085 Processor PART-A (2-Marks) YEAR/SEM : III/V 1. What is meant by Level triggered interrupt? Which are the interrupts in 8085 level

More information

Virtex-II Architecture

Virtex-II Architecture Virtex-II Architecture Block SelectRAM resource I/O Blocks (IOBs) edicated multipliers Programmable interconnect Configurable Logic Blocks (CLBs) Virtex -II architecture s core voltage operates at 1.5V

More information

Infineon C167CR microcontroller, 256 kb external. RAM and 256 kb external (Flash) EEPROM. - Small single-board computer (SBC) with an

Infineon C167CR microcontroller, 256 kb external. RAM and 256 kb external (Flash) EEPROM. - Small single-board computer (SBC) with an Microcontroller Basics MP2-1 week lecture topics 2 Microcontroller basics - Clock generation, PLL - Address space, addressing modes - Central Processing Unit (CPU) - General Purpose Input/Output (GPIO)

More information

Microprocessors/Microcontrollers

Microprocessors/Microcontrollers Microprocessors/Microcontrollers A central processing unit (CPU) fabricated on one or more chips, containing the basic arithmetic, logic, and control elements of a computer that are required for processing

More information

3GPP Turbo Encoder v4.0

3GPP Turbo Encoder v4.0 DS319 June 24, 2009 Features Drop-in module for Virtex -4, Virtex-5, Virtex-6, Spartan -6, Spartan-3, and Spartan-3E FPGAs Implements the 3GPP/UMTS specification [Ref 1] [Ref 2] Core contains the full

More information

Graphics Controller Core

Graphics Controller Core Core - with 2D acceleration functionalities Product specification Prevas AB PO Box 4 (Legeringsgatan 18) SE-721 03 Västerås, Sweden Phone: Fax: Email: URL: Features +46 21 360 19 00 +46 21 360 19 29 johan.ohlsson@prevas.se

More information

Pin Description, Status & Control Signals of 8085 Microprocessor

Pin Description, Status & Control Signals of 8085 Microprocessor Pin Description, Status & Control Signals of 8085 Microprocessor 1 Intel 8085 CPU Block Diagram 2 The 8085 Block Diagram Registers hold temporary data. Instruction register (IR) holds the currently executing

More information

Design and Implementation of a FPGA-based Pipelined Microcontroller

Design and Implementation of a FPGA-based Pipelined Microcontroller Design and Implementation of a FPGA-based Pipelined Microcontroller Rainer Bermbach, Martin Kupfer University of Applied Sciences Braunschweig / Wolfenbüttel Germany Embedded World 2009, Nürnberg, 03.03.09

More information

logibayer.ucf Core Facts

logibayer.ucf Core Facts logibayer Color Camera Sensor Bayer Decoder March 6, 2009 Product Specification Core Facts Provided with Core Xylon d.o.o. Documentation User s Guide Design File Formats Encrypted VHDL Fallerovo setaliste

More information

Chapter 1 Microprocessor architecture ECE 3120 Dr. Mohamed Mahmoud http://iweb.tntech.edu/mmahmoud/ mmahmoud@tntech.edu Outline 1.1 Computer hardware organization 1.1.1 Number System 1.1.2 Computer hardware

More information

MICROPROCESSOR TECHNOLOGY

MICROPROCESSOR TECHNOLOGY MICROPROCESSOR TECHNOLOGY Assis. Prof. Hossam El-Din Moustafa Lecture 16 Ch.7 The 80386 and 80486 Microprocessors 21-Apr-15 1 System Descriptors The system descriptor defines information about the system

More information

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info.

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info. A FPGA based development platform as part of an EDK is available to target intelop provided IPs or other standard IPs. The platform with Virtex-4 FX12 Evaluation Kit provides a complete hardware environment

More information

Design and Implementation of an AHB SRAM Memory Controller

Design and Implementation of an AHB SRAM Memory Controller Design and Implementation of an AHB SRAM Memory Controller 1 Module Overview Learn the basics of Computer Memory; Design and implement an AHB SRAM memory controller, which replaces the previous on-chip

More information

1 MALP ( ) Unit-1. (1) Draw and explain the internal architecture of 8085.

1 MALP ( ) Unit-1. (1) Draw and explain the internal architecture of 8085. (1) Draw and explain the internal architecture of 8085. The architecture of 8085 Microprocessor is shown in figure given below. The internal architecture of 8085 includes following section ALU-Arithmetic

More information

EE178 Lecture Module 2. Eric Crabill SJSU / Xilinx Fall 2007

EE178 Lecture Module 2. Eric Crabill SJSU / Xilinx Fall 2007 EE178 Lecture Module 2 Eric Crabill SJSU / Xilinx Fall 2007 Lecture #4 Agenda Survey of implementation technologies. Implementation Technologies Small scale and medium scale integration. Up to about 200

More information

Review: Timing. EECS Components and Design Techniques for Digital Systems. Lec 13 Storage: Regs, SRAM, ROM. Outline.

Review: Timing. EECS Components and Design Techniques for Digital Systems. Lec 13 Storage: Regs, SRAM, ROM. Outline. Review: Timing EECS 150 - Components and Design Techniques for Digital Systems Lec 13 Storage: Regs,, ROM David Culler Electrical Engineering and Computer Sciences University of California, Berkeley http://www.eecs.berkeley.edu/~culler

More information

2. (2 pts) If an external clock is used, which pin of the 8051 should it be connected to?

2. (2 pts) If an external clock is used, which pin of the 8051 should it be connected to? ECE3710 Exam 2. Name _ Spring 2013. 5 pages. 102 points, but scored out of 100. You may use any non-living resource to complete this exam. Any hint of cheating will result in a 0. Part 1 Short Answer 1.

More information

CHAPTER 5 : Introduction to Intel 8085 Microprocessor Hardware BENG 2223 MICROPROCESSOR TECHNOLOGY

CHAPTER 5 : Introduction to Intel 8085 Microprocessor Hardware BENG 2223 MICROPROCESSOR TECHNOLOGY CHAPTER 5 : Introduction to Intel 8085 Hardware BENG 2223 MICROPROCESSOR TECHNOLOGY The 8085A(commonly known as the 8085) : Was first introduced in March 1976 is an 8-bit microprocessor with 16-bit address

More information

CS/EE 3710 Computer Architecture Lab Checkpoint #2 Datapath Infrastructure

CS/EE 3710 Computer Architecture Lab Checkpoint #2 Datapath Infrastructure CS/EE 3710 Computer Architecture Lab Checkpoint #2 Datapath Infrastructure Overview In order to complete the datapath for your insert-name-here machine, the register file and ALU that you designed in checkpoint

More information

COE758 Digital Systems Engineering

COE758 Digital Systems Engineering COE758 Digital Systems Engineering Project #1 Memory Hierarchy: Cache Controller Objectives To learn the functionality of a cache controller and its interaction with blockmemory (SRAM based) and SDRAM-controllers.

More information

Revolutionary Quad-Pipelined Ultra High Performance 16/32-bit Microcontroller v. 6.05

Revolutionary Quad-Pipelined Ultra High Performance 16/32-bit Microcontroller v. 6.05 DQ80251 Revolutionary Quad-Pipelined Ultra High Performance 16/32-bit Microcontroller v. 6.05 O V E R V I E W DQ80251 is a revolutionary Quad-Pipelined ultrahigh performance, speed optimized soft core,

More information

8051 Microcontroller

8051 Microcontroller 8051 Microcontroller 1 Salient Features (1). 8 bit microcontroller originally developed by Intel in 1980. (2). High-performance CMOS Technology. (3). Contains Total 40 pins. (4). Address bus is of 16 bit

More information

DQ8051. Revolutionary Quad-Pipelined Ultra High performance 8051 Microcontroller Core

DQ8051. Revolutionary Quad-Pipelined Ultra High performance 8051 Microcontroller Core DQ8051 Revolutionary Quad-Pipelined Ultra High performance 8051 Microcontroller Core COMPANY OVERVIEW Digital Core Design is a leading IP Core provider and a System-on-Chip design house. The company was

More information

Advanced 486/586 PC/104 Embedded PC SBC1491

Advanced 486/586 PC/104 Embedded PC SBC1491 Advanced 486/586 PC/104 Embedded PC SBC1491 Features Ready to run 486/586 computer Small PC/104 format DiskOnChip, 64MB RAM On-board accelerated VGA COM1, COM2, KBD, mouse 10BASE-T Ethernet port PC/104

More information

The Microcontroller. Lecture Set 3. Major Microcontroller Families. Example Microcontroller Families Cont. Example Microcontroller Families

The Microcontroller. Lecture Set 3. Major Microcontroller Families. Example Microcontroller Families Cont. Example Microcontroller Families The Microcontroller Lecture Set 3 Architecture of the 8051 Microcontroller Microcontrollers can be considered as self-contained systems with a processor, memory and I/O ports. In most cases, all that is

More information

LCD Display. Other I/O. LCD display Flash ROM SPI EPROM Keyboard (PS/2) UART connectors DAC ADC. 2-line, 16 character LCD display

LCD Display. Other I/O. LCD display Flash ROM SPI EPROM Keyboard (PS/2) UART connectors DAC ADC. 2-line, 16 character LCD display Other I/O LCD display Flash ROM SPI EPROM Keyboard (PS/2) UART connectors DAC ADC LCD Display 2-line, 16 character LCD display 4-bit interface Relatively easy to use once you have it mapped into your processor

More information

MSMF GATE CENTRE. Sub: MICROPROCESSORS. Time: 50min Date: Marks:33

MSMF GATE CENTRE. Sub: MICROPROCESSORS. Time: 50min Date: Marks:33 MSMF GATE CENTRE Sub: MICROPROCESSORS Time: 50min Date:20-12-16 Marks:33 1. Which interrupt has highest priority in 8085 microprocessor? a) INTR b) RST 4.5 c) RST 6.5 d) RST 7.5 2. In 8085 microprocessor,

More information

MAHALAKSHMI ENGINEERING COLLEGE TIRUCHIRAPALLI UNIT I THE 8085 & 8086 MICROPROCESSORS. PART A (2 Marks)

MAHALAKSHMI ENGINEERING COLLEGE TIRUCHIRAPALLI UNIT I THE 8085 & 8086 MICROPROCESSORS. PART A (2 Marks) MAHALAKSHMI ENGINEERING COLLEGE TIRUCHIRAPALLI-621213. UNIT I THE 8085 & 8086 MICROPROCESSORS PART A (2 Marks) 1. Give the significance of SIM and RIM instruction available in 8085. [NOV/DEC 2006] Instruction

More information

High-Performance Integer Factoring with Reconfigurable Devices

High-Performance Integer Factoring with Reconfigurable Devices FPL 2010, Milan, August 31st September 2nd, 2010 High-Performance Integer Factoring with Reconfigurable Devices Ralf Zimmermann, Tim Güneysu, Christof Paar Horst Görtz Institute for IT-Security Ruhr-University

More information

Lab 3 Sequential Logic for Synthesis. FPGA Design Flow.

Lab 3 Sequential Logic for Synthesis. FPGA Design Flow. Lab 3 Sequential Logic for Synthesis. FPGA Design Flow. Task 1 Part 1 Develop a VHDL description of a Debouncer specified below. The following diagram shows the interface of the Debouncer. The following

More information

Chapter 5 Embedded Soft Core Processors

Chapter 5 Embedded Soft Core Processors Embedded Soft Core Processors Coarse Grained Architecture. The programmable gate array (PGA) has provided the opportunity for the design and implementation of a soft core processor in embedded design.

More information

PROJECT REPORT - UART

PROJECT REPORT - UART Tanvi Shama 200601196 Akshay Soni 200601148 DAIICT PROJECT REPORT - UART Digital System Architecture 2 Project Report - UART S.No Topic Page No. 1. PROJECT STATEMENT 3 2. FUNCTIONAL SPECIFICATIONS INTRODUCTION

More information

Interfacing a Hyper Terminal to the Flight 86 Kit

Interfacing a Hyper Terminal to the Flight 86 Kit Experiment 6 Interfacing a Hyper Terminal to the Flight 86 Kit Objective The aim of this lab experiment is to interface a Hyper Terminal to 8086 processor by programming the 8251 USART. Equipment Flight

More information

Today. Comments about assignment Max 1/T (skew = 0) Max clock skew? Comments about assignment 3 ASICs and Programmable logic Others courses

Today. Comments about assignment Max 1/T (skew = 0) Max clock skew? Comments about assignment 3 ASICs and Programmable logic Others courses Today Comments about assignment 3-43 Comments about assignment 3 ASICs and Programmable logic Others courses octor Per should show up in the end of the lecture Mealy machines can not be coded in a single

More information

rtfsystem64 Controller MIG DDR2 DRAM 128MiB Raptor64 CPU 32 bit Bridge ethmac 64 bit WB Bridge Raster IRQ. Interrupt Controller 32 bit WB Bridge

rtfsystem64 Controller MIG DDR2 DRAM 128MiB Raptor64 CPU 32 bit Bridge ethmac 64 bit WB Bridge Raster IRQ. Interrupt Controller 32 bit WB Bridge 32 bit WB Bridge SD Card 32 bit WB Bridge WXGA Timing Timer 1000HZ Timer 100HZ Clock Gen. 32 bit WB Bridge MIG Controller 64 bit WB Bridge Datetime UART Keyboard Controller ROM Memory 16kiB Scratch Memory

More information

Microcomputer Architecture and Programming

Microcomputer Architecture and Programming IUST-EE (Chapter 1) Microcomputer Architecture and Programming 1 Outline Basic Blocks of Microcomputer Typical Microcomputer Architecture The Single-Chip Microprocessor Microprocessor vs. Microcontroller

More information

QUESTION BANK CS2252 MICROPROCESSOR AND MICROCONTROLLERS

QUESTION BANK CS2252 MICROPROCESSOR AND MICROCONTROLLERS FATIMA MICHAEL COLLEGE OF ENGINEERING & TECHNOLOGY Senkottai Village, Madurai Sivagangai Main Road, Madurai -625 020 QUESTION BANK CS2252 MICROPROCESSOR AND MICROCONTROLLERS UNIT 1 - THE 8085 AND 8086

More information

INPUT-OUTPUT ORGANIZATION

INPUT-OUTPUT ORGANIZATION 1 INPUT-OUTPUT ORGANIZATION Peripheral Devices Input-Output Interface Asynchronous Data Transfer Modes of Transfer Priority Interrupt Direct Memory Access Input-Output Processor Serial Communication 2

More information

Melon S3 FPGA Development Board Product Datasheet

Melon S3 FPGA Development Board Product Datasheet Melon S3 FPGA Development Board Product Datasheet The Melon S3 FPGA is open-source, expandable development board perfect for the learning digital circuit design and prototyping of your unique ideas. You

More information

e-pg Pathshala Subject : Computer Science Paper: Embedded System Module: 8051 Architecture Module No: CS/ES/5 Quadrant 1 e-text

e-pg Pathshala Subject : Computer Science Paper: Embedded System Module: 8051 Architecture Module No: CS/ES/5 Quadrant 1 e-text e-pg Pathshala Subject : Computer Science Paper: Embedded System Module: 8051 Architecture Module No: CS/ES/5 Quadrant 1 e-text In this lecture the detailed architecture of 8051 controller, register bank,

More information

Pong Pong Revolution: Project Proposal

Pong Pong Revolution: Project Proposal Pong Pong Revolution: Project Proposal Daniel Lopuch and Zachary Remscrim Department of Electrical Engineering and Computer Science Massachusetts Institute of Technology Cambridge, MA 02139 Pong Pong Revolution

More information

SPART - A Special Purpose Asynchronous Receiver/Transmitter

SPART - A Special Purpose Asynchronous Receiver/Transmitter ECE 554 - Digital Engineering Laboratory Miniproject SPART - A Special Purpose Asynchronous Receiver/Transmitter VERSION S02 (Revision 1 - Changes in Red) INTRODUCTION In this miniproject, you are to implement

More information

Aircraft Combat. A mini game.

Aircraft Combat. A mini game. Aircraft Combat A mini game Yun Miao Siyu Tan soc12ymi@student.lu.se soc12sta@student.lu.se 16/10/2013 ABSTRACT This report documents the development of an aircraft combat game. In this project, the game

More information

RiceNIC. Prototyping Network Interfaces. Jeffrey Shafer Scott Rixner

RiceNIC. Prototyping Network Interfaces. Jeffrey Shafer Scott Rixner RiceNIC Prototyping Network Interfaces Jeffrey Shafer Scott Rixner RiceNIC Overview Gigabit Ethernet Network Interface Card RiceNIC - Prototyping Network Interfaces 2 RiceNIC Overview Reconfigurable and

More information

A ONE CHIP HARDENED SOLUTION FOR HIGH SPEED SPACEWIRE SYSTEM IMPLEMENTATIONS

A ONE CHIP HARDENED SOLUTION FOR HIGH SPEED SPACEWIRE SYSTEM IMPLEMENTATIONS A ONE CHIP HARDENED SOLUTION FOR HIGH SPEED SPACEWIRE SYSTEM IMPLEMENTATIONS Joseph R. Marshall, Richard W. Berger, Glenn P. Rakow Conference Contents Standards & Topology ASIC Program History ASIC Features

More information

2. List the five interrupt pins available in INTR, TRAP, RST 7.5, RST 6.5, RST 5.5.

2. List the five interrupt pins available in INTR, TRAP, RST 7.5, RST 6.5, RST 5.5. DHANALAKSHMI COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING EE6502- MICROPROCESSORS AND MICROCONTROLLERS UNIT I: 8085 PROCESSOR PART A 1. What is the need for ALE signal in

More information

UNIT-3 PC HARDWARE OVERVIEW PART A

UNIT-3 PC HARDWARE OVERVIEW PART A UNIT-3 PC HARDWARE OVERVIEW PART A 1. What is the advance in PC design in various aspects? The PC design has undergone advances in various aspects: Microprocessor used. Peripheral devices supported. Hardware

More information

Lecture 2 Microcomputer Organization: Fig.1.1 Basic Components of Microcomputer

Lecture 2 Microcomputer Organization: Fig.1.1 Basic Components of Microcomputer Lecture 2 Microcomputer Organization: As discussed in previous lecture microprocessor is a central processing unit (CPU) with its related timing functions on a single chip. A microprocessor combined with

More information

University of Massachusetts Amherst Computer Systems Lab 2 (ECE 354) Spring Lab 1: Using Nios 2 processor for code execution on FPGA

University of Massachusetts Amherst Computer Systems Lab 2 (ECE 354) Spring Lab 1: Using Nios 2 processor for code execution on FPGA University of Massachusetts Amherst Computer Systems Lab 2 (ECE 354) Spring 2007 Lab 1: Using Nios 2 processor for code execution on FPGA Objectives: After the completion of this lab: 1. You will understand

More information

Appendix XII The Hardware.

Appendix XII The Hardware. Appendix XII The Hardware. A. Processor. The processor is a Z80A running at a clock frequency of 4.00 MHz (±0.1%). There is logic that stretches /MREQ and /IORQ using the CPU WAIT facility so that the

More information

Four Categories Of 8085 Instructions That >>>CLICK HERE<<<

Four Categories Of 8085 Instructions That >>>CLICK HERE<<< Four Categories Of 8085 Instructions That Manipulate Data When the data byte isloaded by CPU the transmitter will stop transmitting synchronous List the four categories of 8085 instructions. manipulate

More information

Embedded Systems. Read pages

Embedded Systems. Read pages Embedded Systems Read pages 385-417 Definition of Embedded Systems Embedded systems Computer dedicated to serve specific purposes Many physical systems today use computer for powerful and intelligent applications

More information

Roberto Muscedere Images and Text Portions 2003 Prentice Hall 1

Roberto Muscedere Images and Text Portions 2003 Prentice Hall 1 Microcomputer Structure and Operation Chapter 5 A Microprocessor ( P) contains the controller, ALU and internal registers A Microcomputer ( C) contains a microprocessor, memory (RAM, ROM, etc), input and

More information

SPART. SPART Design. A Special Purpose Asynchronous Receiver/Transmitter. The objectives of this miniproject are to:

SPART. SPART Design. A Special Purpose Asynchronous Receiver/Transmitter. The objectives of this miniproject are to: SPART A Special Purpose Asynchronous Receiver/Transmitter Introduction In this miniproject you are to implement a Special Purpose Asynchronous Receiver/Transmitter (SPART). The SPART can be integrated

More information

386EX PC/104 Computer with CompactFlash and PCMCIA SBC1390

386EX PC/104 Computer with CompactFlash and PCMCIA SBC1390 386EX PC/104 Computer with CompactFlash and PCMCIA SBC1390 Features Small, low cost, ready to run 386EX, 25 or 33MHz Up to 32MB DRAM CompactFlash connector Optional PC Card interface for Wi-Fi, modem,

More information

EE4390 Microprocessors. Lessons 2, 3 68HC12 Hardware Overview, Subsystems, and memory System

EE4390 Microprocessors. Lessons 2, 3 68HC12 Hardware Overview, Subsystems, and memory System EE4390 Microprocessors Lessons 2, 3 68HC12 Hardware Overview, Subsystems, and memory System 1 Overview 68HC12 hardware overview Subsystems Memory System 2 68HC12 Hardware Overview "Copyright of Motorola,

More information

TMS320LF240x-A Flash Programming

TMS320LF240x-A Flash Programming TMS320LF240x-A Flash Programming Serial Port Flash Programming Utility Table of Contents 1. Introduction... 4 1.1. Overview... 4 2. Operation... 4 2.1. DSP Controller Initialization... 4 2.2. Kernel Transfer...

More information

Chip-8 Design Specification

Chip-8 Design Specification Columbia University Embedded Systems 4840 Chip-8 Design Specification Authors: Ashley Kling (ask2203) Levi Oliver (lpo2105) Gabrielle Taylor (gat2118) David Watkins (djw2146) Supervisor: Prof. Stephen

More information

Graduate Institute of Electronics Engineering, NTU Advanced VLSI SOPC design flow

Graduate Institute of Electronics Engineering, NTU Advanced VLSI SOPC design flow Advanced VLSI SOPC design flow Advisor: Speaker: ACCESS IC LAB What s SOC? IP classification IP reusable & benefit Outline SOPC solution on FPGA SOPC design flow pp. 2 What s SOC? Definition of SOC Advantage

More information

IA-32 Architecture. Computer Organization and Assembly Languages Yung-Yu Chuang 2005/10/6. with slides by Kip Irvine and Keith Van Rhein

IA-32 Architecture. Computer Organization and Assembly Languages Yung-Yu Chuang 2005/10/6. with slides by Kip Irvine and Keith Van Rhein IA-32 Architecture Computer Organization and Assembly Languages Yung-Yu Chuang 2005/10/6 with slides by Kip Irvine and Keith Van Rhein Virtual machines Abstractions for computers High-Level Language Level

More information

Introduction to Microprocessor

Introduction to Microprocessor Introduction to Microprocessor Slide 1 Microprocessor A microprocessor is a multipurpose, programmable, clock-driven, register-based electronic device That reads binary instructions from a storage device

More information

CPE/EE 421/521 Fall 2004 Chapter 4 The CPU Hardware Model. Dr. Rhonda Kay Gaede UAH. The CPU Hardware Model - Overview

CPE/EE 421/521 Fall 2004 Chapter 4 The CPU Hardware Model. Dr. Rhonda Kay Gaede UAH. The CPU Hardware Model - Overview CPE/EE 421/521 Fall 2004 Chapter 4 The 68000 CPU Hardware Model Dr. Rhonda Kay Gaede UAH Fall 2004 1 The 68000 CPU Hardware Model - Overview 68000 interface Timing diagram Minimal configuration using the

More information

CARDBUS INTERFACE USER MANUAL

CARDBUS INTERFACE USER MANUAL CARDBUS INTERFACE USER MANUAL 1 Scope The COM-13xx ComBlock modules are PC cards which support communication with a host computer through a standard CardBus interface. These ComBlock modules can be used

More information

Sundance Multiprocessor Technology Limited. Capture Demo For Intech Unit / Module Number: C Hong. EVP6472 Intech Demo. Abstract

Sundance Multiprocessor Technology Limited. Capture Demo For Intech Unit / Module Number: C Hong. EVP6472 Intech Demo. Abstract Sundance Multiprocessor Technology Limited EVP6472 Intech Demo Unit / Module Description: Capture Demo For Intech Unit / Module Number: EVP6472-SMT939 Document Issue Number 1.1 Issue Data: 1th March 2012

More information

SPART. SPART Design. A Special Purpose Asynchronous Receiver/Transmitter. The objectives of this miniproject are to:

SPART. SPART Design. A Special Purpose Asynchronous Receiver/Transmitter. The objectives of this miniproject are to: SPART A Special Purpose Asynchronous Receiver/Transmitter Introduction In this miniproject you are to implement a Special Purpose Asynchronous Receiver/Transmitter (SPART). The SPART can be integrated

More information

Channel FIFO (CFIFO) (v1.00a)

Channel FIFO (CFIFO) (v1.00a) 0 Channel FIFO (CFIFO) (v1.00a) DS471 April 24, 2009 0 0 Introduction The Channel FIFO (CFIFO) contains separate write (transmit) and read (receive) FIFO designs called WFIFO and RFIFO, respectively. WFIFO

More information

The CS5600 micro-computer

The CS5600 micro-computer The CS5600 micro-computer The CS5600 is a fictional computer that will be used for examples in class. The architecture of the system is shown in Figure 1, below. 8 general-purpose registers R0-R7 R7 FFFF

More information

Chapter 9: Sequential Logic Modules

Chapter 9: Sequential Logic Modules Chapter 9: Sequential Logic Modules Prof. Ming-Bo Lin Department of Electronic Engineering National Taiwan University of Science and Technology Digital System Designs and Practices Using Verilog HDL and

More information

EE251: Thursday November 30

EE251: Thursday November 30 EE251: Thursday November 30 Course Evaluation Forms-fill out Memory Subsystem continued Timing requirements Adding memory beyond 4 Gbyte Time Allowing: Begin Review for Final Exam Homework due next Tuesday,

More information

CDA 4253 FGPA System Design Xilinx FPGA Memories. Hao Zheng Comp Sci & Eng USF

CDA 4253 FGPA System Design Xilinx FPGA Memories. Hao Zheng Comp Sci & Eng USF CDA 4253 FGPA System Design Xilinx FPGA Memories Hao Zheng Comp Sci & Eng USF Xilinx 7-Series FPGA Architecture On-Chip block RAM On-Chip block RAM Distributed RAM by Logic Fabric Distributed RAM by Logic

More information

University of Massachusetts Amherst Computer Systems Lab 1 (ECE 354) LAB 1 Reference Manual

University of Massachusetts Amherst Computer Systems Lab 1 (ECE 354) LAB 1 Reference Manual University of Massachusetts Amherst Computer Systems Lab 1 (ECE 354) LAB 1 Reference Manual Lab 1: Using NIOS II processor for code execution on FPGA Objectives: 1. Understand the typical design flow in

More information

1. Jumpers Location Diagram for "A47 ver 3.X" Main Board

1. Jumpers Location Diagram for A47 ver 3.X Main Board 1. Jumpers Location Diagram for "A47 ver 3.X" Main Board 1 2. Memory Configuration System Memory's Configuration. Following table illustrates how to expand the memory size on the main board. Capacity SIMM

More information

INTRODUCTION TO FLEXIO

INTRODUCTION TO FLEXIO INTRODUCTION TO FLEXIO Osvaldo Romero Applications Engineer EXTERNAL USE Agenda Introduction to FlexIO FlexIO Main Features FlexIO Applications Freescale Products with FlexIO Collaterals\Tools for FlexIO

More information

UART TO SPI SPECIFICATION

UART TO SPI SPECIFICATION UART TO SPI SPECIFICATION Author: Dinesh Annayya dinesha@opencores.org Table of Contents Preface... 3 Scope... 3 Revision History... 3 Abbreviations... 3 Introduction... 3 Architecture... 4 Baud-rate generator

More information

IDEA! Avnet SpeedWay Design Workshop

IDEA! Avnet SpeedWay Design Workshop The essence of FPGA technology IDEA! 2 ISE Tool Flow Overview Design Entry Synthesis Constraints Synthesis Simulation Implementation Constraints Floor-Planning Translate Map Place & Route Timing Analysis

More information

8085 Microprocessor Architecture and Memory Interfacing. Microprocessor and Microcontroller Interfacing

8085 Microprocessor Architecture and Memory Interfacing. Microprocessor and Microcontroller Interfacing 8085 Microprocessor Architecture and Memory 1 Points to be Discussed 8085 Microprocessor 8085 Microprocessor (CPU) Block Diagram Control & Status Signals Interrupt Signals 8085 Microprocessor Signal Flow

More information