R&D TECHNOLOGY DRIVING INNOVATION. Samsung Semiconductor, Inc. SAMSUNG SEMICONDUCTOR, INC. 1

Size: px
Start display at page:

Download "R&D TECHNOLOGY DRIVING INNOVATION. Samsung Semiconductor, Inc. SAMSUNG SEMICONDUCTOR, INC. 1"

Transcription

1 R&D TECHNOLOGY DRIVING INNOVATION Samsung Semiconductor, Inc. SAMSUNG SEMICONDUCTOR, INC. 1

2 R&D & CORE COMPONENTS _ SAMSUNG is the world s largest memory chip and display maker, as well as the second largest semiconductor supplier. Our vertically integrated manufacturing, quality orientation, market leadership and commitment to advanced R&D has won universal recognition. Headquartered in San Jose, California, Samsung Semiconductor, Inc. (SSI), a wholly-owned U.S. subsidiary of Samsung Electronics Co., Ltd., is the volume and technology leader in: DRAM, NAND Flash, SSDs, Mobile and Graphics DRAM LCD and OLED Displays One of the largest providers of system logic, imaging and LED lighting solutions, Samsung also offers advanced process design and manufacturing for fabless companies. R&D is at the heart of everything Samsung does. SSI s R&D Labs collaborate with local partners for joint development of advanced system solutions. 2 TECHNOLOGY DRIVING INNOVATION

3 R&D: RELENTLESS INNOVATION_ Memory Solutions Lab samsung display america lab SAMSUNG austin research Center advanced image research lab Mobile Software Lab modem lab Strategy & Innovation Center Semiconductor R&D Labs New Memory Technology Lab Advanced Logic Lab Device Lab INVESTING HEAVILY in future technology, our U.S. R&D operations grew from two labs in 2008 to 10 labs today, of which seven are located in Silicon Valley. Being in Silicon Valley where we are a key part of the hightech community allows us to work directly with our customers to develop innovative technologies and solution that deliver increased value to their products. We collaborate across the US to advance new system solutions for memory, logic, display and mobile applications. With satellite operations in Austin, Pasadena and San Diego, we have over 800 researchers in the U.S., of which 500 are located in Silicon Valley. SSI is rapidly expanding our presence in the Valley with a growth rate of 20% 30% year-over-year. SSI s labs are an integral part of the ongoing investment in Samsung s collaborative global research network that includes over 50,000 employees across 42 global research facilities. OUR MEMORY SOLUTIONS LAB S mission is to develop innovative, open system architectures and solutions that transform the performance of memory in SSDs, mobile devices and data centers. THE SAMSUNG DISPLAY AMERICA LAB develops technologies, algorithms, standards and interfaces for Samsung Display Company s nextgeneration LCD and OLED displays. THE SAMSUNG AUSTIN RESEARCH CENTER is developing ARM-based CPU and GPU chip architectures and designs for Samsung s System LSI and Foundry divisions. OUR THREE SEMICONDUCTOR R&D LABS New Memory Technology Lab, Advanced Logic Lab and Device Lab undertake advanced research into materials and device technologies for future cutting-edge semiconductor wafer processes. THE ADVANCED IMAGE RESEARCH LAB, MOBILE SOFTWARE LAB AND MODEM LAB develop state-of-the-art CMOS image sensor, connectivity and software solutions for mobile devices. OUR STRATEGY & INNOVATION CENTER is developing groundbreaking solutions in digital health, human-computer interaction, internet of things and data center infrastructure, all based on open platforms and standards. SAMSUNG SEMICONDUCTOR, INC. 3

4 MOBILE WORLD_ LCD MOBILE FLASH STORAGE OLED CURVED (FLEX) DISPLAY CURVED (FLEX) DISPLAY_ Our 5.68 FHD display is the first massproduced flexible display. It features a 400R curvature, 1.88:1 aspect ratio, and the latest AMOLED technology for smartphones, while reducing outdoor reflection and glare. APPLICATION PROCESSORS MOBILE DRAM OLED_ AMOLED panels enhance readability and enrich imagery. Smart AMOLED panels reproduce 16.7 million colors with an infinite contrast ratio for use indoors or outdoors, and they re thinner than a credit card. SSDS_ With capacity up to 1.6TB1TB, exceptional reliability and lightningfast speeds (up to a 4GB/s sequential read), Samsung SSDs are well-suited for notebooks, using SATA, PCIe or NVMe interface. MOBILE DRAM_ We offer the largest Mobile DRAM portfolio, featuring 8Gb, 3200mbps LPDDR4 and 6Gb LPDDR3. Consumers enjoy longer battery run-time, slimmer device designs and higher definition on larger screens. 4 TECHNOLOGY DRIVING INNOVATION

5 SSDs LED FOUNDRY IMAGE SENSORS IMAGE SENSORS_ ISOCELL technology increases light sensitivity and controls the absorption of electrons, resulting in higher color fidelity even in poor lighting conditions. FOUNDRY_ Through an agreement with STMicro, Samsung offers FD-SOI technology at 28nm, delivering faster, cooler, and simpler semiconductor devices for higher-performance, lower-power systems-on-chips for next-generation electronic products. APPLICATION PROCESSORS_ Samsung s newest Exynos 5 Octa is equipped with four ARM Cortex - A15 processors and four ARM Cortex- A7 processors, delivering up to 34 percent higher performance. MOBILE FLASH STORAGE/ MEMORY_ Available up to 64GB, our emmc 5.0 reads and writes data at over 10X the speed of a class-10 memory card. It enables ultra-fast large-file gaming, application loading, web browsing, video capture and HD/UHD playback. LED LIGHTING_ LED packages, including FC, FCOM, COB and LAM solutions provide long-lasting, energyefficient lighting with superb reliability, exceptionally compact designs and high-color rendering (above CRI 90). SAMSUNG SEMICONDUCTOR, INC. 5

6 IT & DATA CENTERS_ LCD DRAM ODD SSDs FOUNDRY_ Samsung s 14nm FinFET process taps the benefits of three-dimensional, fully depleted FinFET transistors, enabling up to 20% higher speed, 35% less power and 15% area scaling over industry 20nm planar technology. LCDS_ Samsung LCD displays improve Command & Control and Remote Monitoring Centers. Maximizing 24/7 ops, these video wall displays support matrix installation, with super-narrow bezels, viewing angles to 178, reduced image retention, brightness to 700 nits, and extremely precise color uniformity. DRAM_ Samsung offers DDR4 for data centers with speeds as high as 2133 Mbps, at 1.2 volts. It delivers the highest densities (32GB and moving higher) and uses the most advanced (20nm class) DRAM process technology to maximize server efficiency. SSDS_ Samsung V-NAND and other enterprise SSDs with up to 1.6TB densities allow data centers to have speeds up to 3000 MBs (NVMe), power levels as low as 3.4W active/1.1 W idle (PCIe and SATA SSDs), and the best RD/WR latency. 6 TECHNOLOGY DRIVING INNOVATION

7 HOME ELECTRONICS & MULTIMEDIA_ LCD WI-FI APPLICATION PROCESSORS IMAGE SENSORS LCD_ LCD technology is behind 90% of flat screen TVs, all-in-one PCs, monitors and notebooks. Samsung TV displays feature local dimming for deeper blacks, FOUNDRY_ With a process design kit up to 1 billion colors, 500-nit brightness, and verification available, Samsung s FHD or UHD resolution, Hz refresh 28RF process is built on a high-volume rates and bezels as thin as 5mm. manufacturing-proven 28LPP HKMG process. Silicon results show 280GHz of Ft and 400GHz of Fmax with APPLICATION PROCESSORS_ normal condition. Samsung s newest Exynos 5 Octa is equipped with four ARM Cortex - A15 processors and four ARM WI-FI CONNECTIVITY_ To address Cortex - A7 processors, delivering IoT, Samsung offers a small footprint, up to 34 percent higher performance. IMAGE SENSORS_ ISOCELL low-power bgn connectivity single technology increases light chip solution. Operating at 2.4GHz, this sensitivity and controls the chip embeds RAM to host the Wi-Fi driver absorption of electrons, resulting without a separate host processor. in higher color fidelity even in poor lighting conditions. SAMSUNG SEMICONDUCTOR, INC. 7

8 LOOKING AHEAD TO 2015_ Located at the same site as our previous two-story facility, Samsung is blazing new directions with a new signature Garden Synergy Twin Towers headquarters that is optimized to connect people and nature while inspiring Samsung employees. The 1.1 million square foot R&D and sales campus is being constructed to house a rapidly expanding employee base and to better collaborate with our partners and customers to launch the most advanced, state-of-theart solutions. The new headquarters represents our continued commitment to Silicon Valley which is the leading hub for high-tech innovation and technology Samsung Semiconductor, Inc. The appearance of all products, dates, figures and tables are subject to change at any time without notice. Samsung, Samsung Semiconductor, Inc., and Exynos are trademarks of Samsung Electronics Co., Ltd. All other names and brands are the property of their respective owners. 8 TECHNOLOGY DRIVING INNOVATION BR-11-BKG-001 Printed 07/14

Samsung System LSI Business

Samsung System LSI Business Samsung System LSI Business NS (Stephen) Woo, Ph.D. President & GM of System LSI Samsung Electronics 0/32 Disclaimer The materials in this report include forward-looking statements which can generally

More information

Samsung emcp. WLI DDP Package. Samsung Multi-Chip Packages can help reduce the time to market for handheld devices BROCHURE

Samsung emcp. WLI DDP Package. Samsung Multi-Chip Packages can help reduce the time to market for handheld devices BROCHURE Samsung emcp Samsung Multi-Chip Packages can help reduce the time to market for handheld devices WLI DDP Package Deliver innovative portable devices more quickly. Offer higher performance for a rapidly

More information

Samsung PM1725a NVMe SSD

Samsung PM1725a NVMe SSD Samsung PM1725a NVMe SSD Exceptionally fast speeds and ultra-low latency for enterprise application Brochure 1 Extreme performance from an SSD technology leader Maximize data transfer with the high-performance,

More information

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015 Soitec ultra-thin SOI substrates enabling FD-SOI technology July, 2015 Agenda FD-SOI: Background & Value Proposition C1- Restricted July 8, 2015 2 Today Ultra-mobile & Connected Consumer At Any Time With

More information

Smartwatches (April 12, 2017) Samsung Gear Live, 2014 Samsung S 3G, 2014 Samsung S3 LTE, November 2016

Smartwatches (April 12, 2017) Samsung Gear Live, 2014 Samsung S 3G, 2014 Samsung S3 LTE, November 2016 Smartwatches (April 12, 2017) Samsung Gear Live, 2014 Samsung S 3G, 2014 Samsung S3 LTE, November 2016 1 Samsung Gear Live 2 Samsung Gear Live 1.63 Super AMOLED display with a resolution of 320 x 320 pixels

More information

Samsung s Green SSD (Solid State Drive) PM830. Boost data center performance while reducing power consumption. More speed. Less energy.

Samsung s Green SSD (Solid State Drive) PM830. Boost data center performance while reducing power consumption. More speed. Less energy. Samsung s Green SSD (Solid State Drive) PM830 Boost data center performance while reducing power consumption More speed. Less energy. Reduce data center power consumption Data center and power consumption

More information

Introducing the FX-14 ASIC Design System. Embargoed until November 10, 2015

Introducing the FX-14 ASIC Design System. Embargoed until November 10, 2015 Introducing the FX-14 ASIC Design System Embargoed until November 10, 2015 Market Forces Are Driving Need for a New Breed of Semiconductor By 2019: Bandwidth Roughly one million minutes of video will cross

More information

Samsung Electronics Announces First Quarter Results

Samsung Electronics Announces First Quarter Results Samsung Electronics Announces First Quarter Results Registers net profit of KRW 7.68 trillion on sales of KRW 50.55 trillion 1Q consolidated operating profit reaches KRW 9.90 trillion SEOUL, Korea April

More information

Exploring System Coherency and Maximizing Performance of Mobile Memory Systems

Exploring System Coherency and Maximizing Performance of Mobile Memory Systems Exploring System Coherency and Maximizing Performance of Mobile Memory Systems Shanghai: William Orme, Strategic Marketing Manager of SSG Beijing & Shenzhen: Mayank Sharma, Product Manager of SSG ARM Tech

More information

Offering Interactive User Experience

Offering Interactive User Experience Offering Interactive User Experience Page 2 of 24 Introduction INTER@CT.KIOSK is an innovative supplier of Interactive Kiosk solutions. Interactive kiosks provide an advanced and pleasing way to interact

More information

Who will benefit from micro LEDs with new generation GaN-on-Si?

Who will benefit from micro LEDs with new generation GaN-on-Si? Who will benefit from micro LEDs with new generation GaN-on-Si? Alexander Loesing CMO and co-founder, ALLOS Semiconductors GmbH Taipei, 13th April 2017 Outline Introducing ALLOS GaN-on-Si for (conventional)

More information

HP AMD COMMERCIAL CLIENT SOLUTIONS

HP AMD COMMERCIAL CLIENT SOLUTIONS HP AMD COMMERCIAL CLIENT SOLUTIONS A resource guide for CIOs and IT professionals www.amd.com WHO WE ARE... since the company's founding in 1969, AMD has driven innovation in high-performance computing,

More information

Samsung Electronics Announces Fourth Quarter & FY 2015 Results

Samsung Electronics Announces Fourth Quarter & FY 2015 Results Samsung Electronics Announces Fourth Quarter & FY 2015 Results SEOUL, Korea January 28, 2016 Samsung Electronics announced financial results for the fourth quarter ended December 31, 2015. Samsung posted

More information

New Oxygen Smartphones: great performances for little money

New Oxygen Smartphones: great performances for little money New Oxygen Smartphones: great performances for little money Paris Monday, February 18 th, 2019 ARCHOS, the French pioneer of consumer electronics, today confirms its commitment to price-conscious users

More information

iphone 5 and iphone 7 (April 14 and 17, 2017) iphone 5 WiFi module iphone 7 battery application processors wafer level packaging 3D NAND

iphone 5 and iphone 7 (April 14 and 17, 2017) iphone 5 WiFi module iphone 7 battery application processors wafer level packaging 3D NAND iphone 5 and iphone 7 (April 14 and 17, 2017) iphone 5 WiFi module iphone 7 battery application processors wafer level packaging 3D NAND 1 iphone 5 2 WiFi Front End in iphone 5 3 Broadcom BCM4334 inside

More information

Slimline designs, unparallelled choice and affordable touchscreen computing Toshiba launches its three new Satellite laptop ranges

Slimline designs, unparallelled choice and affordable touchscreen computing Toshiba launches its three new Satellite laptop ranges Press Release Slimline designs, unparallelled choice and affordable touchscreen computing Toshiba launches its three new Satellite laptop ranges London, UK, 4th June 2013 Toshiba UK today announces the

More information

Samsung Z-SSD SZ985. Ultra-low Latency SSD for Enterprise and Data Centers. Brochure

Samsung Z-SSD SZ985. Ultra-low Latency SSD for Enterprise and Data Centers. Brochure Samsung Z-SSD SZ985 Ultra-low Latency SSD for Enterprise and Data Centers Brochure 1 A high-speed storage device from the SSD technology leader Samsung Z-SSD SZ985 offers more capacity than PRAM-based

More information

White Paper. Samsung V-NAND. Yield more capacity, performance and power efficiency

White Paper. Samsung V-NAND. Yield more capacity, performance and power efficiency White Paper Samsung V-NAND Yield more capacity, performance and power efficiency Stay abreast of increasing data demands with Samsung's innovative vertical architecture Introduction There continues to

More information

Maximizing Fraud Prevention Through Disruptive Architectures Delivering speed at scale.

Maximizing Fraud Prevention Through Disruptive Architectures Delivering speed at scale. Maximizing Fraud Prevention Through Disruptive Architectures Delivering speed at scale. January 2016 Credit Card Fraud prevention is among the most time-sensitive and high-value of IT tasks. The databases

More information

Digital Signage for Healthcare: Interactive Video Walls for Patient-Centered Care

Digital Signage for Healthcare: Interactive Video Walls for Patient-Centered Care Digital Signage for Healthcare: Interactive Video Walls for Patient-Centered Care Thibodaux Regional Medical Center is Louisiana s most innovative healthcare provider. With the mission of bringing wellness

More information

Future Matters US Disclosure

Future Matters US Disclosure Disclaimer This presentation has been prepared by Samsung Electronics Co., Ltd. (the "Company"). It does not purport to contain all the information that a prospective investor may require in connection

More information

Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry. Dr. Thomas de Paly

Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry. Dr. Thomas de Paly Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry Dr. Thomas de Paly October 06, 2009 Opportunity Meets Vision Vision To be the first truly global semiconductor foundry,

More information

Qualcomm Snapdragon 450 Mobile Platform

Qualcomm Snapdragon 450 Mobile Platform Qualcomm Snapdragon 450 Mobile Platform Kedar Kondap Vice President, Product Management Qualcomm Technologies, Inc. Snapdragon 400 Tier @qualcomm Qualcomm Snapdragon is a product of Qualcomm Technologies,

More information

Samsung Electronics Announces Third Quarter 2017 Results

Samsung Electronics Announces Third Quarter 2017 Results Samsung Electronics Announces Third Quarter 2017 Results Registers net profit of KRW 11.19 trillion on sales of KRW 62.05 trillion 3Q consolidated operating profit reaches KRW 14.53 trillion SEOUL, Korea

More information

Silicon Labs Corporate Overview

Silicon Labs Corporate Overview Silicon Labs Corporate Overview APRIL 2018 The leader in silicon, software and solutions for a smarter, more connected world. A World-Class Design Culture In 1996, a visionary group of engineers pioneered

More information

Eco-efficiency. ESH R&D Center. Part 1. Introduction Part 2. Case study. Environment, Safety & Health

Eco-efficiency. ESH R&D Center. Part 1. Introduction Part 2. Case study. Environment, Safety & Health Eco-efficiency Part 1. Introduction Part 2. Case study Environment, Safety & Health ESH R&D Center Part 1. Introduction What is the Factor h2 Hynix definition of the factor h 2 (squared) originated from

More information

Welcome. Altera Technology Roadshow 2013

Welcome. Altera Technology Roadshow 2013 Welcome Altera Technology Roadshow 2013 Altera at a Glance Founded in Silicon Valley, California in 1983 Industry s first reprogrammable logic semiconductors $1.78 billion in 2012 sales Over 2,900 employees

More information

Large Children's Hospital

Large Children's Hospital Large Children's Hospital Samsung Digital Signage Connects Patients, Parents and Caregivers at Leading Children's Hospital Overview Customer Need A leading children's hospital sought to integrate the latest

More information

Silicon Labs Corporate Overview

Silicon Labs Corporate Overview Silicon Labs Corporate Overview MARCH 2018 The leader in silicon, software and solutions for a smarter, more connected world. A World-Class Design Culture In 1996, a visionary group of engineers pioneered

More information

Swift SF515-51T Specifications (v4-0-4)

Swift SF515-51T Specifications (v4-0-4) Category Description Footnotes Operating 1 Windows 10 Home 64-bit 2 system CPU and chipset 1 Intel Core TM i7-8565u processor (8 MB Smart Cache, 1.8 GHz with Turbo Boost up to 4.6 GHz, DDR4 or LPDDR3,

More information

Samsung SSD PM863 and SM863 for Data Centers. Groundbreaking SSDs that raise the bar on satisfying big data demands

Samsung SSD PM863 and SM863 for Data Centers. Groundbreaking SSDs that raise the bar on satisfying big data demands Samsung SSD PM863 and SM863 for Data Centers Groundbreaking SSDs that raise the bar on satisfying big data demands 2 Samsung SSD PM863 and SM863 Innovations in solid state As the importance of data in

More information

SAMSUNG BUSINESS SOLUTIONS Let innovation lead your business.

SAMSUNG BUSINESS SOLUTIONS Let innovation lead your business. SAMSUNG BUSINESS SOLUTIONS Let innovation lead your business. The Samsung products that power the New Business Experience. Take your business to the next level. The role of IT has changed. It s about more

More information

IoT with 5G Technology

IoT with 5G Technology July 25 th, 2018 Innofest ID 2018 Jakarta, Indonesia IoT with 5G Technology Transformation for Industrial 4.0 Shannedy Ong Country Director Indonesia Leading mobile innovation for over 30 years Digitized

More information

IMMERSIVE. ActivPanel. The Premium Interactive Display for Immersive Learning.

IMMERSIVE. ActivPanel. The Premium Interactive Display for Immersive Learning. IMMERSIVE ActivPanel The Premium Interactive Display for Immersive Learning www.prometheanworld.com/activpanel The Industry s Most Natural Writing Experience Providing highly-accurate touch detection,

More information

IMMERSIVE. ActivPanel The Intelligently-Designed, Connected Hub for Immersive Learning.

IMMERSIVE. ActivPanel The Intelligently-Designed, Connected Hub for Immersive Learning. IMMERSIVE TM ActivPanel The Intelligently-Designed, Connected Hub for Immersive Learning www.prometheanworld.com/activpanel Intelligently-Designed for Ease of Use Powered by a revolutionary Android PC,

More information

Guardian Technology Platform Webcast

Guardian Technology Platform Webcast John Scaramuzzo Guardian Technology Platform Webcast Senior Vice President & General Manager, Enterprise Storage Solutions December 12, 2013 1 Forward-Looking Statements During our meeting today we may

More information

Credit Suisse 18 th Annual Technology Conference

Credit Suisse 18 th Annual Technology Conference Credit Suisse 18 th Annual Technology Conference Alper Ilkbahar, Vice President, Marketing SanDisk Enterprise Storage Solutions December 3, 2014 1 Forward-Looking Statements During our meeting today we

More information

The Many Flavors of NAND and More to Come

The Many Flavors of NAND and More to Come The Many Flavors of NAND and More to Come Brian Shirley VP Micron Memory Product Group 1 NAND Market Growth Drivers Top 10 Applications by Units Shipped 4000 # of Units per Application 3500 Millions of

More information

The Premium Interactive Panel for Immersive Learning.

The Premium Interactive Panel for Immersive Learning. I M M E R S I V E Ac t i vpa n e l TM The Premium Interactive Panel for Immersive Learning www.prometheanworld.com/activpanel The Industry s Most Natural Writing Experience Innovative InGlass technology

More information

SUPERMICRO, VEXATA AND INTEL ENABLING NEW LEVELS PERFORMANCE AND EFFICIENCY FOR REAL-TIME DATA ANALYTICS FOR SQL DATA WAREHOUSE DEPLOYMENTS

SUPERMICRO, VEXATA AND INTEL ENABLING NEW LEVELS PERFORMANCE AND EFFICIENCY FOR REAL-TIME DATA ANALYTICS FOR SQL DATA WAREHOUSE DEPLOYMENTS TABLE OF CONTENTS 2 THE AGE OF INFORMATION ACCELERATION Vexata Provides the Missing Piece in The Information Acceleration Puzzle The Vexata - Supermicro Partnership 4 CREATING ULTRA HIGH-PERFORMANCE DATA

More information

IMMERSE GAMERS WITH PHENOMENAL GRAPHICS.

IMMERSE GAMERS WITH PHENOMENAL GRAPHICS. Consumer Game Console IMMERSE GAMERS WITH PHENOMENAL GRAPHICS. Stay at the forefront of the market equipped with Samsung s dashing graphic memory solution. Executive Summary Evolution of video game Playing

More information

SAMSUNG ELECTRONICS LED Business

SAMSUNG ELECTRONICS LED Business SAMSUNG ELECTRONICS LED Business Discover Samsung SMART Lighting 1 Booth # 2645 Samsung Electronics Sales: USD 187.8B Operating Profit: USD 22.3B (2012) Consumer Electronics Visual Display IT & Mobile

More information

Green Memory Solution. Jung-Bae Lee

Green Memory Solution. Jung-Bae Lee Green Memory Solution Jung-Bae Lee Contents Introduction Data Explosion and Data Center Expansion Data Center Issues: Power & Performance Samsung Green Memory Solution History of Green Memory Memory &

More information

Signs of Intelligent Life: AI Simplifies IoT

Signs of Intelligent Life: AI Simplifies IoT Signs of Intelligent Life: AI Simplifies IoT JEDEC Mobile & IOT Forum Stephen Lum Samsung Semiconductor, Inc. Copyright 2018 APPLICATIONS DRIVE CHANGES IN ARCHITECTURES x86 Processors Apps Processors FPGA

More information

Tablet MODECOM FreeTAB1004 IPS X4

Tablet MODECOM FreeTAB1004 IPS X4 MODECOM FreeTAB 1004 IPS X4 new member of Quad-Core family MODECOM FreeTAB 1004 IPS X4 is a tablet PC of outstanding technical parameters, efficiency and design and excellent price. This high-end device

More information

Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions

Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions 2013 TSMC, Ltd Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions 2 Agenda Lifestyle Trends Drive Product Requirements Concurrent Technology and Design Development FinFET Design Challenges

More information

Building blocks for 64-bit Systems Development of System IP in ARM

Building blocks for 64-bit Systems Development of System IP in ARM Building blocks for 64-bit Systems Development of System IP in ARM Research seminar @ University of York January 2015 Stuart Kenny stuart.kenny@arm.com 1 2 64-bit Mobile Devices The Mobile Consumer Expects

More information

SOITEC REPORTS SECOND QUARTER FY 17 REVENUES OF 56.7 M, UP 4% COMPARED WITH THE SECOND QUARTER OF FY 16 AT CONSTANT EXCHANGE RATES

SOITEC REPORTS SECOND QUARTER FY 17 REVENUES OF 56.7 M, UP 4% COMPARED WITH THE SECOND QUARTER OF FY 16 AT CONSTANT EXCHANGE RATES SOITEC REPORTS SECOND QUARTER FY 17 REVENUES OF 56.7 M, UP 4% COMPARED WITH THE SECOND QUARTER OF FY 16 AT CONSTANT EXCHANGE RATES Continued sustainable growth in Communication & Power 200-mm wafer sales

More information

Company Overview May Silicon Motion Technology Corp. All rights reserved.

Company Overview May Silicon Motion Technology Corp. All rights reserved. Company Overview May 2017 2015 Silicon Motion Technology Corp. All rights reserved. Safe Harbor Statement This presentation contains "forward-looking statements" within the meaning of Section 27A of the

More information

Samsung s 20nm class Green DDR3. The next generation of low-power, high-performance memory. More speed. Less energy.

Samsung s 20nm class Green DDR3. The next generation of low-power, high-performance memory. More speed. Less energy. Samsung s 0nm class Green DDR3 The next generation of low-power, high-performance memory More speed. Less energy. Optimizing data centers starts with optimized memory Optimize your servers with Samsung

More information

LIGHTNING SPEED FOR THE WEB SERVICE.

LIGHTNING SPEED FOR THE WEB SERVICE. Data Center Web Server LIGHTNING SPEED FOR THE WEB SERVICE. Samsung s thrilling solution is designed for an accelerated web experience. Witness how memory can satisfy your customers. Executive Summary

More information

An Introduction. (for Military Storage Application) Redefining Flash Storage

An Introduction. (for Military Storage Application) Redefining Flash Storage An Introduction (for Military Storage Application) Redefining Flash Storage August 2014 The Opportunity One of very few independent SSD controller chip companies 6+ years of R&D and $30M invested to create

More information

F8000 Ultra Slim LED TV

F8000 Ultra Slim LED TV PRODUCT HIGHLIGHTS Smart TV 2.0 with S-Recommendation Smart Interaction 2.0 and Smart Evolution Quad Core Processor Micro Dimming Ultimate with Precision Black (Local Dimming) Ultra Slim with Clean Back

More information

Samsung PEC Series Premium Signage Displays

Samsung PEC Series Premium Signage Displays Samsung PEC Series Premium Signage Displays Convenient, cost-effective displays for consistent, high-quality image presentation Samsung LED lighting: PAR Adjustable, high-performing, low-energy LED lamps

More information

PSP ENTERS THE MARKET ON DECEMBER 12, 2004 AT 19,800 YEN IN JAPAN

PSP ENTERS THE MARKET ON DECEMBER 12, 2004 AT 19,800 YEN IN JAPAN PSP ENTERS THE MARKET ON DECEMBER 12, 2004 AT 19,800 YEN IN JAPAN Sony Computer Entertainment Sets Price and Launch Date for the Long-Awaited PSP TM (PlayStation Portable), the New Handheld Video Game

More information

Perform. Travis Lanier Sr. Director, Product Management Qualcomm Technologies,

Perform. Travis Lanier Sr. Director, Product Management Qualcomm Technologies, Perform Travis Lanier Sr. Director, Product Management Qualcomm Technologies, Inc. @qualcomm More powerful and longer lasting mobile experiences Because nobody wants to be this person U.K. U.S. Germany

More information

SSDs & Memory Why it matters what you choose?

SSDs & Memory Why it matters what you choose? SSDs & Memory Why it matters what you choose? Steve Weinger, Director of Marketing SSDs @ Samsung Sylvie Kadivar, Sr. Director of Strategic Marketing @ Samsung Robert Hormuth, Sr. Distinguished Engineer

More information

24th MONDAY. Overview 2018

24th MONDAY. Overview 2018 24th MONDAY Overview 2018 Imagination: your route to success At Imagination, we create and license market-leading processor solutions for graphics, vision & AI processing, and multi-standard communications.

More information

Qualcomm Snapdragon Technologies

Qualcomm Snapdragon Technologies March 2018 Game Developer Conference (GDC) Qualcomm Snapdragon Technologies Hiren Bhinde, Director, XR Product Management Qualcomm Technologies, Inc. Qualcomm Technologies announcements & updates Snapdragon

More information

Driving Leading Edge Microprocessor Technology

Driving Leading Edge Microprocessor Technology Driving Leading Edge Microprocessor Technology Dr. Hans Deppe Corporate Vice President & General Manager AMD in Dresden AMD Overview A leading global supplier of innovative semiconductor solutions for

More information

SAMSUNG INTERACTIVE DISPLAY. FOR CORPORATE AND PUBLIC SECTOR MEETING ROOMS

SAMSUNG INTERACTIVE DISPLAY.   FOR CORPORATE AND PUBLIC SECTOR MEETING ROOMS SAMSUNG INTERACTIVE DISPLAY FOR CORPORATE AND PUBLIC SECTOR MEETING ROOMS A powerful and uniquely flexible display to enhance engagement, interaction and collaboration. Bring a large screen touch experience

More information

NANOIOTECH The Future of Nanotechnologies for IoT & Smart Wearables Semiconductor Technology at the Core of IoT Applications

NANOIOTECH The Future of Nanotechnologies for IoT & Smart Wearables Semiconductor Technology at the Core of IoT Applications NANOIOTECH The Future of Nanotechnologies for IoT & Smart Wearables Semiconductor Technology at the Core of IoT Applications Giorgio Cesana STMicroelectronics Success Factors for new smart connected Applications

More information

ARM instruction sets and CPUs for wide-ranging applications

ARM instruction sets and CPUs for wide-ranging applications ARM instruction sets and CPUs for wide-ranging applications Chris Turner Director, CPU technology marketing ARM Tech Forum Taipei July 4 th 2017 ARM computing is everywhere #1 shipping GPU in the world

More information

Samsung Electronics Announces Fourth Quarter & FY 2017 Results

Samsung Electronics Announces Fourth Quarter & FY 2017 Results Samsung Electronics Announces Fourth Quarter & FY 2017 Results Registers 4Q net profit of KRW 12.26 trillion on sales of KRW 65.98 trillion 4Q consolidated operating profit reaches KRW 15.15 trillion SEOUL,

More information

Utilizing Ultra-Low Latency Within Enterprise Architectures

Utilizing Ultra-Low Latency Within Enterprise Architectures Utilizing Ultra-Low Latency Within Enterprise Architectures Page Tagizad, Product Marketing - Enterprise Storage Solution April 22, 2014 Forward-Looking Statements During our meeting today we will make

More information

BUSINESS 478. Section D400 CASE SYNOPSIS FOR: SAMSUNG

BUSINESS 478. Section D400 CASE SYNOPSIS FOR: SAMSUNG BUSINESS 478 Section D400 CASE SYNOPSIS FOR: SAMSUNG Matthew Cheah Yu Liu Jacky Pan Louisa Qiu Date: March 21, 2013 INTRODUCTION History In 1938, Samsung was founded by Byung-Chull Lee as a small export

More information

[promultis] lightning II touchscreen. Integrated Android Use without a connected PC. 3 Year Swap Out Warranty. USB slot for Webcam

[promultis] lightning II touchscreen. Integrated Android Use without a connected PC. 3 Year Swap Out Warranty. USB slot for Webcam lightning II touchscreen USB slot for Webcam Integrated Android Use without a connected PC 3 Year Swap Out Warranty Tough Anti Scratch / Anti Glare Surface 32 Touch Points Wall Bracket Included Optional

More information

Revolutionizing RISC-V based application design possibilities with GLOBALFOUNDRIES. Gregg Bartlett Senior Vice President, CMOS Business Unit

Revolutionizing RISC-V based application design possibilities with GLOBALFOUNDRIES. Gregg Bartlett Senior Vice President, CMOS Business Unit Revolutionizing RISC-V based application design possibilities with GLOBALFOUNDRIES Gregg Bartlett Senior Vice President, CMOS Business Unit RISC-V: Driving New Architectures and Multi-core Systems GF Enabling

More information

The ConFab 2017 MEMS, Sensors and IoT: New Opportunities

The ConFab 2017 MEMS, Sensors and IoT: New Opportunities The ConFab 2017 MEMS, Sensors and IoT: New Opportunities Jim Feldhan Semico Research Corp. May 16, 2017 Outline MEMS & Sensor Market Impact End Market Innovation Semiconductor Outlook 2 IPI report About

More information

Samsung PM863 and SM863 for Data Centers

Samsung PM863 and SM863 for Data Centers Samsung PM863 and SM863 for Data Centers Groundbreaking SSDs that raise the bar on satisfying bid data demands 2015 Samsung Electronics Co. An enormous increase in Internet traffic and data volume is challenging

More information

Info kit CR650. Product Planning Department Daniel Chu. Edited by: Koen Coppens, Product Marketing

Info kit CR650. Product Planning Department Daniel Chu. Edited by: Koen Coppens, Product Marketing Info kit CR650 Product Planning Department Daniel Chu Edited by: Koen Coppens, Product Marketing Design inspiration CR650 ID Overview ID Message Thin and light Endurable in quality and clean-cut in appearance

More information

A backward glance and a forward view

A backward glance and a forward view Arm Limited is a subsidiary of A backward glance and a forward view Ian Thornton, Head of Investor Relations Tokyo 18 May 2018 Arm update A Backward Glance: Progress in 2017 Financials Investments / hiring

More information

REALIZING ROBUST PERFORMANCE IN A BEAUTIFUL LOW-POWER PACKAGE.

REALIZING ROBUST PERFORMANCE IN A BEAUTIFUL LOW-POWER PACKAGE. Consumer Laptop REALIZING ROBUST PERFORMANCE IN A BEAUTIFUL LOW-POWER PACKAGE. Unleash a notebook with enormous computing power supported by state-of-the-art energy efficiency. Executive Summary Stepping

More information

Red Hat Ceph Storage and Samsung NVMe SSDs for intensive workloads

Red Hat Ceph Storage and Samsung NVMe SSDs for intensive workloads Red Hat Ceph Storage and Samsung NVMe SSDs for intensive workloads Power emerging OpenStack use cases with high-performance Samsung/ Red Hat Ceph reference architecture Optimize storage cluster performance

More information

LSI and HGST accelerate database applications with Enterprise RAID and Solid State Drives

LSI and HGST accelerate database applications with Enterprise RAID and Solid State Drives LSI and HGST accelerate database applications with Enterprise RAID and Solid State Drives HGST Ultrastar enterprise-class solid state drives (SSDs) connected to LSI MegaRAID 6Gb/s SAS+SATA RAID controller

More information

The new Toshiba Qosmio X70 and Satellite P70 bringing out the best in entertainment

The new Toshiba Qosmio X70 and Satellite P70 bringing out the best in entertainment The new Toshiba Qosmio X70 and Satellite P70 bringing out the best in entertainment Powerful processors with dedicated graphics performance for multimedia enthusiasts and creative professionals Equipped

More information

N E W S R E L E A S E

N E W S R E L E A S E Chartered Semiconductor Manufacturing Ltd. (Regn. No.: 198703584-K ) www.charteredsemi.com 880 N. McCarthy Blvd., Ste. 100 Milpitas, California 95035 Tel: (1) 408.941.1100 Fax: (1) 408.941.1101 60 Woodlands

More information

Company Presentation. September 2010

Company Presentation. September 2010 Company Presentation September 2010 2 Safe Harbor Notice E Ink Holdings statement of its current expectations are forward-looking statements subject to significant risks and uncertainties. Actual results

More information

Frequently Asked Questions. s620 SATA SSD Enterprise-Class Solid-State Device

Frequently Asked Questions. s620 SATA SSD Enterprise-Class Solid-State Device Frequently Asked Questions s620 SATA SSD Enterprise-Class Solid-State Device Frequently Asked Questions Q: What about advanced data protection? A: In mission-critical enterprise and datacenter applications,

More information

MACHINE VISION FOR SMARTPHONES. Essential machine vision camera requirements to fulfill the needs of our society

MACHINE VISION FOR SMARTPHONES. Essential machine vision camera requirements to fulfill the needs of our society MACHINE VISION FOR SMARTPHONES Essential machine vision camera requirements to fulfill the needs of our society INTRODUCTION With changes in our society, there is an increased demand in stateof-the art

More information

Electronics Corporation of Tamil Nadu Limited

Electronics Corporation of Tamil Nadu Limited Electronics Corporation of Tamil Nadu Limited Rate Contract Tender S u p p l y, I n s t a l l a t i o n a n d C o m m i s s i o n i n g o f D i s p l a y M o n i t o r & T V Tender Ref. ELCOT/PROC/OT/33189/

More information

Introduction to ASIC Design

Introduction to ASIC Design Introduction to ASIC Design Victor P. Nelson ELEC 5250/6250 CAD of Digital ICs Design & implementation of ASICs Oops Not these! Application-Specific Integrated Circuit (ASIC) Developed for a specific application

More information

Apacer Technology Inc Investor Conference

Apacer Technology Inc Investor Conference Apacer Technology Inc. 2017 Investor Conference Stock Code:8271 August, 10th, 2017 Disclaimer The predictive information mentioned in the present briefing and as promulgated simultaneously is set up on

More information

Archive 2017 BiTS Workshop- Image: Easyturn/iStock

Archive 2017 BiTS Workshop- Image: Easyturn/iStock Archive September 6-7, 2017 InterContinental Shanghai Pudong Hotel - Shanghai, China Archive 2017 BiTS Workshop- Image: Easyturn/iStock September 6-7, 2017 Archive COPYRIGHT NOTICE This multimedia file

More information

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd 2 Agenda TSMC IP Ecosystem DDR Interfaces for SoCs Summary 3 TSMC Highlights Founded in 1987 The world's first dedicated semiconductor foundry

More information

Flash Storage in Surveillance Edge Recording

Flash Storage in Surveillance Edge Recording Flash Storage in Surveillance Edge Recording Amit Gattani Sr. Director, Segment Marketing Embedded Business Unit agattani@micron.com 2016 Micron Technology, Inc. All rights reserved. Information, products,

More information

FOR IMMEDIATE RELEASE. Toshiba Corporation Corporate Communications Office Phone:

FOR IMMEDIATE RELEASE. Toshiba Corporation Corporate Communications Office Phone: FOR IMMEDIATE RELEASE Toshiba Corporation Corporate Communications Office Phone: +81-3-3457-2105 http://www.toshiba.co.jp/contact/media.htm SanDisk Corporation Media Contact: Ryan Donovan Phone: +1-408-801-2857

More information

New Approach to Unstructured Data

New Approach to Unstructured Data Innovations in All-Flash Storage Deliver a New Approach to Unstructured Data Table of Contents Developing a new approach to unstructured data...2 Designing a new storage architecture...2 Understanding

More information

SanDisk Overview. Mike Chenery Senior Fellow. 5th Annual Needham HDD & Memory Conference Boston, MA. November 3, 2011

SanDisk Overview. Mike Chenery Senior Fellow. 5th Annual Needham HDD & Memory Conference Boston, MA. November 3, 2011 SanDisk Overview Mike Chenery Senior Fellow 5th Annual Needham HDD & Memory Conference Boston, MA November 3, 2011 Forward-looking Statement During our meeting today we will be making forward-looking statements.

More information

»$4 BILLION ON THE SAN DIEGO REGION S ECONOMY IN 2018.

»$4 BILLION ON THE SAN DIEGO REGION S ECONOMY IN 2018. IMPACTING 1985 Qualcomm is founded, opening the company s first office in La Jolla, CA SAN DIEGO S INDUSTRIES 1991 Qualcomm becomes a publicly traded company, issuing 4 million shares 2000 First multimedia

More information

The Evolution of Mobile

The Evolution of Mobile The Evolution of Mobile and its impact on storage architecture Jonathan Hubert Director, Strategic Marketing Micron Technology Mobile Memory Workshop 2011 Wireless Data Rates Doubling Every 18 Months 2

More information

Samsung MagicIWB Solution (Interactive White Board)

Samsung MagicIWB Solution (Interactive White Board) Samsung MagicIWB Solution (Interactive White Board) A high-impact presentation solution for collaborative and interactive business and educational environments Enhance interaction in meeting rooms and

More information

Training AQUOS Product Overview. Name January 3, 2014

Training AQUOS Product Overview. Name January 3, 2014 Training 2014 AQUOS Product Overview Name January 3, 2014 Agenda AQUOS Core Messages AQUOS LED TV Naming Convention AQUOS LED TV Series Comparison AQUOS LED TV Picture Quality New SmartCentral Smart TV

More information

Technology for Innovators TM TI WIRELESS TECHNOLOGY DELIVERING ALL THE PROMISE OF 3G

Technology for Innovators TM TI WIRELESS TECHNOLOGY DELIVERING ALL THE PROMISE OF 3G Technology for Innovators TM TI WIRELESS TECHNOLOGY DELIVERING ALL THE PROMISE OF 3G 1 BROAD PORTFOLIO OF FLEXIBLE, SCALABLE SOLUTIONS From traditional voice-centric mobile phones to the most advanced,

More information

Lenovo Enterprise Portfolio

Lenovo Enterprise Portfolio Lenovo Enterprise Portfolio Federico Cuatromano Client Technical Specialist Data Center Group Lenovo Today A $46 billion, Fortune 500 company 60,000 employees serving customers in 160+ countries Publicly

More information

Samsung Memory DDR4 SDRAM

Samsung Memory DDR4 SDRAM Samsung Memory SDRAM The new generation of high-performance, power-efficient memory that delivers great reliability for enterprise applications 205 Samsung Electronics Co. Brochure An optimized memory

More information

Introducing the world s first 12.5 Ultra HD 4K convertible the Toshiba Satellite Radius 12

Introducing the world s first 12.5 Ultra HD 4K convertible the Toshiba Satellite Radius 12 Introducing the world s first 12.5 Ultra HD 4K convertible the Toshiba Satellite Radius 12 Features Ultra HD display option with colour calibration technology certified by Technicolor Incredible performance

More information

V.4/18 ARCHMI. Industrial HMI Solutions. Computing, Control and Communication

V.4/18 ARCHMI. Industrial HMI Solutions. Computing, Control and Communication V.4/18 ARCHMI Industrial HMI Solutions Computing, Control and Communication ARCHMI Series Rugged Control HMI Its fanless design offers 24/7 reliability, from low power Intel Atom to the latest Core i5/i3

More information

Enjoy better computing performance with faster data transfer

Enjoy better computing performance with faster data transfer Now you can enjoy the great experiences you ve wanted from your notebook, convertible, or desktop PC with the security and connectivity options you need for a protected, mobile lifestyle with the new Intel

More information

USB slot for Webcam. Tough Anti Scratch / Anti Glare Surface. Wall Bracket Included. Integrated Android Use without a connected PC.

USB slot for Webcam. Tough Anti Scratch / Anti Glare Surface. Wall Bracket Included. Integrated Android Use without a connected PC. Lightning II Touch Screen Offering Full HD 1080p or 4k, the picture quality is excellent and the touch response is superb. The screen is perfect for Business, Education and Commercial use. USB slot for

More information