Understanding DDR4 and Today s DRAM Frontier. Oct 15 th 2014

Size: px
Start display at page:

Download "Understanding DDR4 and Today s DRAM Frontier. Oct 15 th 2014"

Transcription

1 Understanding DDR4 and Today s DRAM Frontier Oct 15 th 2014

2 Contents 1. Industry Trend 2. Introduction of DDR4 3. New Technology Node 4. 3D Stacking Technology 5. What s coming Next 2/32

3 DRAM Market & Application 47% of DRAM for Server and PC application Server (15%) Mainframe Supercomputer Server Workstation Desktop Notebook PC (32%) Mobile (25%) Tablet Smart Phone Cellular Phone Mini laptop EDP (Electronic Data Processing) Industrial 7% Military Consumer & Gfx (21%) TV/ LCD/ Printer Set-top box/ D.Camera Navigation/ Black Box Gfx card / Video Game Aerospace Home Appliance Source : Gartner( 14.1Q) 3/32

4 Server Application Trend Memory RAS and Low TCO are required for server Server Virtualization Moving to Cloud Big Data Source : Gartner( 14.1Q) Low TCO Enhanced RAS High Performance Operating voltage Stand-by power Core & I/O power Better S/I Reinforced resiliency High bandwidth Better efficiency 4/32

5 Client Application Trend Mobility and small form factor are key index Smart Phone & Tablet 2-in-1 Ultrabook Traditional NB AIO/NUC Mobility PC like Experience Longer Battery Life Small Form Factor Better Experience Operating voltage Stand-by power Core & I/O power Limited real estate High capacity DRAM High bandwidth Better efficiency 5/32

6 High Capacity High Performance/Watt High Reliability But Low Cost 6/32

7 4 th Generation of DDR SDRAM Successor of DDR3 from 2014 supporting all Computing system GDDR GDDR2 GDDR3 GDDR4 GDDR5 C:\> PC DDR DDR2 DDR3 DDR4 MDDR MDDR2 LPDDR3 LPDDR4 7/32

8 DDR4 Fully Addresses Industry Requirements Key Market Needs & How DDR4 Meets Them X2 Bandwidth with Lower Power Evolutionary path Better Resources Up to 3.2Gbps with more coming 20~40% power savings with power features Single-ended Same clocking (Source Synchronous) Double banks Higher density (up to 16Gb/mono, 128Gb/3DS) Lower Power Consumption +5 Power savings (Voltage/IO/Features) Greater Reliability +6 RAS features Low Cost Keep 8 bit prefetch Bank grouping 8/32

9 Contents 1. Industry Trend 2. Introduction of DDR4 3. New Technology Node 4. 3D Stacking Technology 5. What s coming Next 9/32

10 DDR4 Feature Summary DDR4 has advanced features over DDR3 Spec items DDR3 DDR4 Density / Speed Voltage (VDD/VDDQ/VPP) 512Mb~4Gb 0.8~1.8Gbps 1.5V/1.5V/NA (1.35V/1.35V/NA) 4Gb~16Gb 1.6~3.2Gbps 1.2V/1.2V/2.5V Interface Data IO CTT (34ohm) POD (34ohm) Vref_DQ External Vref (VDD/2) Internal Vref (need training) CMD/ADDR IO CTT CTT Strobe Bi-dir / diff Bi-dir / diff # of banks 8Banks 16Banks (4Bank Group) Core architecture Page size(x4/8/16) 1KB / 1KB / 2KB 512B / 1KB / 2KB # of prefetch 8bits 8bits Added functions RESET/ZQ/Dynamic ODT + 3DS/CRC/DBI/Multi preamble Package type/balls (X4,8/X16) 78 / 96 BGA 78 / 96 BGA Physical DIMM type R, LR, U, SoDIMM DIMM Capacity 512MB to 64GB 8GB to 256GB DIMM pins 240 (R,LR,U) / 204 (So) 288 (R,LR,U) / 260 (So) 10/32

11 Advanced Features for Performance Advanced features to increase system performance 2x Bandwidth of DDR4 versus DDR3 B/W(Mbps) DDR3 800~1600 DDR4 1600~3200 No interleaving delay w/ Bank group DDR3 trrd DDR4 DDR3 4nCK 5nCK(1bubble) DDR4 16Banks(4BG) 1 DDR3_ % 1.16 DDR4_1866 tfaw limit-free of DDR4 : DDR4 512B page vs. DDR3 1KB page DDR3 DDR tfaw tfaw * tfaw : Four Active Window CMD Set DDR4 Platform Benefits DDR3 Platform* DDR4 12C Max Cores 18C AVX(128b) Vector Inst. AVX2(256b) 8.0GT/s QPI 9.6GT/s * DDR3 13 SV Platform, DDR4 14 SV Platform 11/32

12 System Performance Comparison Platform using DDR4 offers better performance More benefit for the application requiring high capacity(multi DPC) 1DPC 2DPC 3DPC Max 42% Better Max 45% Better Max 65% Better 42% 45% 65% DDR3L 1600M DDR4 2133M DDR3L 1333M DDR4 1867M DDR3L 800M DDR4 1600M Source : Samsung SPEC_CPU Benchmark/DDR3L 1.35V vs. DDR4 1.2V/2Rank 16GB 12/32

13 Power Reduction of Core and I/O Operating voltage is decreased from 1.5V(1.35V) to 1.2V POD(Pseudo Open Drain) reduces I/O power Continuous decreasing of VDD - DDR4 1.2V, P V 2 POD Interface : half of I/O power DDR3 SSTL Interface *SSTL : Stub Series Terminated Logic Term. DDR4 POD Interface Term. Power Consumption 13/32

14 Efficient Power Consumption DDR4 is about 20% more power efficient against DDR3 1DPC 2DPC 26% Power Efficient 20% Power Efficient [Performance/watt] [Performance/watt] 26% 20% DDR3 1600M DDR4 2133M DDR3 1333M DDR4 1867M * Measured under controller s POR condition w/ 2Rank 16GB RDIMM Source : Samsung Power Benchmark/DDR3L 1.35V vs. DDR4 1.2V 14/32

15 Features for High Reliability DDR4 supports Write CRC and CA Parity for high reliability Write CRC helps to recognize multi-bits failures during transmission DDR4 can prevent mal-operation by CMD/ADD error DDR3 x Data x x Wrong data will be written to DRAM Controller DDR3 DDR4 x Data x x C R C Data or Register Parity for CMD/ADD DDR4 CRC check & re-request x Failed data during data transmission * Once parity error occurs, DDR4 request CMD/ADD set again *CRC : Cyclic Redundancy Checking 15/32

16 PPR(Post Package Repair) Single bit and single row failure are repairable without any system power-off Normal Rows Redundant Row Recognizing Can repair half of function failures Repairable by PPR(51%) PPR Others 49% Single Bit Fail 37% Single Row 14% Restoring Source : Samsung 16/32

17 DDR3 DDR4 DDR3 DDR4 DDR4 in Client Platform Better performance(30%) and lower power(70%) Performance Intel HEDT Launched x1.0 x1.3 x1.0 x1.2 DDR3 DDR4 DDR3 DDR4 Sandra2014 Performance Test 8.0 Power Consumption x1.0 x1.0 x0.8 x0.7 Active Idle 17/32

18 Samsung DDR4 Line-up DDR4 module solution for server application Application DIMM Type Status IA Server Registered DIMM Load Reduced DIMM Production Production Micro Server ECC SODIMM Production DDR4 module solution for client application Application Type Status Traditional Desktop / HEDT UDIMM Production Ultrabook / AIO / NUC SODIMM Sampling 18/32

19 Contents 1. Industry Trend 2. Introduction of DDR4 3. New Technology Node 4. 3D Stacking Technology 5. What s coming Next 19/32

20 Samsung s Process Technology Journey New DRAM process technology node every year 2znm new process product under mass production 8xnm 6xnm 5xnm Tom s Hardware(Mar. 14) 4xnm Computerworld(Mar. 14) 3xnm Extreme Tech(Mar. 14) 2xnm 2ynm 2znm * Customer Sample shipping date for 1 st product of each process node 20/32

21 2znm DDR3 4Gb Status 2znm DDR3 4Gb is verified with Current Client platforms Already in mass production with valuable customers DIMM Type Density(Org.) Validation Result Status Unbuffered SODIMM Unbuffered DIMM 4GB(1Rx8) Pass Production 8GB(2Rx8) Pass Production 4GB(1Rx8) Pass Production 8GB(2Rx8) Pass Production 21/32

22 2znm DDR4 8Gb Introduction 32GB RDIMM(8Gb) consumes 26% lower power than 32GB LRDIM(4Gb) 3% performance gain by eliminating data buffer LRDIMM More Power tpd from DB 32GB Comparision RDIMM 26% Power Saving % Performance Gain LRDIMM Delay From DB DDR4 32GB LR DDR4 32GB RD DDR4 DDR4 LR 32GB LR DDR4 32GB RD Power Performance DDR4(4Gb) 32GB LRDIMM vs. DDR4(8Gb) 32GB RDIMM 22/32

23 Contents 1. Industry Trend 2. Introduction of DDR4 3. New Technology Node 4. 3D Stacking Technology 5. What s coming Next 23/32

24 TSV technology for 3DS Enables DRAM stacking with better electrical characteristics Conventional Stack Solutions <QDP Wire-bond Package> RDL* Wire-Bond TSV Solutions <4H TSV Package> Slave Chip Master Chip TSV VIA *RDL : Re-distribution Layer <QDP LRDIMM> <3DS TSV RDIMM> Memory Controller Data Buffer DRAM Memory Controller Integrated Buffer Less I/O power DRAM (Master) Number of loading limits high speed operations Only master chip communicates with controller regardless of number of stacking 24/32

25 Power Efficiency of 3DS Solution 3DS solution shows similar performance to buffered solutions Significant less power by removing additional ICs Performance & Latency Power Consumption Bandwidth and Latency Latency ~24% ~28% DDP LR 4H TSV 3DS 4H TSV_LR 3DS 32GB LRDIMM 64GB RDIMM 64GB LRDIMM LR LR TSV TSV TSV_LR TSV_LR Stream Performance Stream LMBench LMBench Latency 3DS RDIMM performs the same as buffered solutions DDP DDP LRDIMM TSV 4H RDIMM 3DS TSV 4H LRDIMM 3DS 32GB LRDIMM 64GB RDIMM 64GB LRDIMM 4H 3DS DRAM consumes same as conventional 2stack *Performance: SPECjbb benchmark, Latency: ATE, Power: Samsung memory stress system 25/32

26 Unveiled 1 st TSV product, 64GB RDIMM 64GB RDIMM with TSV is IN PRODUCTION 26/32

27 Contents 1. Industry Trend 2. Introduction of DDR4 3. New Technology Node 4. 3D Stacking Technology 5. What s coming Next 27/32

28 Needs for Higher Performance Memory High performance DRAM solution needed in N/W, GFX and HPC Graphic Graphics Revolution Improved 3D graphics, 4K Resolution, etc Expanded use of GPGPU Network 200/400Gbit Ethernet from Big Data : 6.6 Zetabyte in 16(CAGR 31%) Connected Devices : 1 trillion in 16(IBM) Internet speed goes up : LTE, LTE-A HPC GPGPU application enlargement Expand from Super Computer to Server. Shazam : Cloud Service using GPGPU accelerator Core, Memory B/W increase Higher B/W requirement Look up Buffer : RLDRAM HBM Packet Buffer : DDR3/4 HBM GPGPU Acceleration GPU performance (Flops) Overcome Uncanny Valley Memory B/W keep increasing 4.7Tera Flops in 13 : 288GB/s (GDDR5) 9.7Tera Flops in 15 : 600GB/s 3 Tera 20, 60 Tera Ethernet Solution 40/100Gbit DDR3 1866Mbps (x16 *8) 200Gb DDR4 2.8~3.2Gbps(x16 * 16) 400Gb HBM 100~200GB/s (1~2ea) Memory BW Increase 28/32

29 HBM (High Bandwidth Memory) Concept HBM has 8 channels with 1024 I/O, support up to 256GB/s 2/4/8H HBM stacks can be supported with TSV technology DRAM Channel 0 Channel 1 Logic Processor Si Interposer Buffer PCB Mother Board [System side view using 4H HBM] DRAM Buffer [HBM Structure 4H Case] HBM is the unique solution to achieve higher B/W with low power Bandwidth Requirement 900 [Memory Bandwidth, GB/s] HBM x4ea (1TB/s, 2Gbps) DDR3/4, WIO HBM GDDR5 GDDR5 x12ea (384GB/s, 8Gbps) 29/32

30 Thermal Management in 2.5D PKG is ready Buffer Die 1 st DRAM Die 2 nd DRAM Die 3 rd DRAM Die 4 th DRAM Die [Temperature ( C] DRAM Logic Processor Si Interposer PCB Buffer Mother Board 30/32

31 Infrastructure Readiness for HBM 300mm wafer process line is ready for Mass Production Fab process qualification is completed with State of the art facilities FAB Post-FAB Assembly TSV Bump Carrier Bond Back-side Pad Debond & Saw Stacking 31/32

32 Samsung Memory for All Computing Device DDR4 /LPDDR3,4 DDR4 GDDR5 32/32 LPDDR3/4

33

Samsung Memory DDR4 SDRAM

Samsung Memory DDR4 SDRAM Samsung Memory SDRAM The new generation of high-performance, power-efficient memory that delivers great reliability for enterprise applications 205 Samsung Electronics Co. Brochure An optimized memory

More information

Memory Requirement for Server Application

Memory Requirement for Server Application Server Memory Trends (Past and Future) Server Memory Forum 2011 Memory Requirement for Server Application High End -DB server HPC/ MC In-Memory System TeraByte System Large Capacity Mid End - Application

More information

The 3D-Memory Evolution

The 3D-Memory Evolution The 3D-Memory Evolution ISC 2015 /, Director Marcom + SBD EMEA Legal Disclaimer This presentation is intended to provide information concerning computer and memory industries. We do our best to make sure

More information

Green Memory Solution. Jung-Bae Lee

Green Memory Solution. Jung-Bae Lee Green Memory Solution Jung-Bae Lee Contents Introduction Data Explosion and Data Center Expansion Data Center Issues: Power & Performance Samsung Green Memory Solution History of Green Memory Memory &

More information

Five Emerging DRAM Interfaces You Should Know for Your Next Design

Five Emerging DRAM Interfaces You Should Know for Your Next Design Five Emerging DRAM Interfaces You Should Know for Your Next Design By Gopal Raghavan, Cadence Design Systems Producing DRAM chips in commodity volumes and prices to meet the demands of the mobile market

More information

DDR3 Memory Buffer: Buffer at the Heart of the LRDIMM Architecture. Paul Washkewicz Vice President Marketing, Inphi

DDR3 Memory Buffer: Buffer at the Heart of the LRDIMM Architecture. Paul Washkewicz Vice President Marketing, Inphi DDR3 Memory Buffer: Buffer at the Heart of the LRDIMM Architecture Paul Washkewicz Vice President Marketing, Inphi Theme Challenges with Memory Bandwidth Scaling How LRDIMM Addresses this Challenge Under

More information

D D R 4 W H I T E P A P E R

D D R 4 W H I T E P A P E R Introduction DDR3 has been with us for a long time, and Corsair has been there pushing the bleeding edge of performance, cooperating with Intel, AMD, and motherboard manufacturers to produce the fastest

More information

DDR3 Memory for Intel-based G6 Servers

DDR3 Memory for Intel-based G6 Servers DDR3 Memory for Intel-based G6 Servers March 2009 2009 Hewlett-Packard Development Company, L.P. The information contained herein is subject to change without notice. New memory technology with G6; DDR-3

More information

2GB DDR3 SDRAM SODIMM with SPD

2GB DDR3 SDRAM SODIMM with SPD 2GB DDR3 SDRAM SODIMM with SPD Ordering Information Part Number Bandwidth Speed Grade Max Frequency CAS Latency Density Organization Component Composition Number of Rank 78.A2GC6.AF1 10.6GB/sec 1333Mbps

More information

4GB Unbuffered VLP DDR3 SDRAM DIMM with SPD

4GB Unbuffered VLP DDR3 SDRAM DIMM with SPD 4GB Unbuffered VLP DDR3 SDRAM DIMM with SPD Ordering Information Part Number Bandwidth Speed Grade Max Frequency CAS Latency Density Organization Component Composition 78.B1GE3.AFF0C 12.8GB/sec 1600Mbps

More information

IMM128M72D1SOD8AG (Die Revision F) 1GByte (128M x 72 Bit)

IMM128M72D1SOD8AG (Die Revision F) 1GByte (128M x 72 Bit) Product Specification Rev. 1.0 2015 IMM128M72D1SOD8AG (Die Revision F) 1GByte (128M x 72 Bit) 1GB DDR Unbuffered SO-DIMM RoHS Compliant Product Product Specification 1.0 1 IMM128M72D1SOD8AG Version: Rev.

More information

IMM128M64D1DVD8AG (Die Revision F) 1GByte (128M x 64 Bit)

IMM128M64D1DVD8AG (Die Revision F) 1GByte (128M x 64 Bit) Product Specification Rev. 1.0 2015 IMM128M64D1DVD8AG (Die Revision F) 1GByte (128M x 64 Bit) 1GB DDR VLP Unbuffered DIMM RoHS Compliant Product Product Specification 1.0 1 IMM128M64D1DVD8AG Version: Rev.

More information

Thermal Management Challenges in Mobile Integrated Systems

Thermal Management Challenges in Mobile Integrated Systems Thermal Management Challenges in Mobile Integrated Systems Ilyas Mohammed March 18, 2013 SEMI-THERM Executive Briefing Thermal Management Market Visions & Strategies, San Jose CA Contents Mobile computing

More information

Future Memories. Jim Handy OBJECTIVE ANALYSIS

Future Memories. Jim Handy OBJECTIVE ANALYSIS Future Memories Jim Handy OBJECTIVE ANALYSIS Hitting a Brick Wall OBJECTIVE ANALYSIS www.objective-analysis.com Panelists Michael Miller VP Technology, Innovation & Systems Applications MoSys Christophe

More information

IMM64M64D1SOD16AG (Die Revision D) 512MByte (64M x 64 Bit)

IMM64M64D1SOD16AG (Die Revision D) 512MByte (64M x 64 Bit) Product Specification Rev. 2.0 2015 IMM64M64D1SOD16AG (Die Revision D) 512MByte (64M x 64 Bit) 512MB DDR Unbuffered SO-DIMM RoHS Compliant Product Product Specification 2.0 1 IMM64M64D1SOD16AG Version:

More information

DRAM. DRAM Modules. Product specifications are subject to change without prior notice. 27

DRAM. DRAM Modules. Product specifications are subject to change without prior notice. 27 DRAM DRAM Modules Innodisk s industrial-grade DRAM series is high-quality memory modules that have been specially designed and developed for industrial PCs and other PC-like applications. Our specialized

More information

Memory Solutions. Industry Trends and Solution Overview

Memory Solutions. Industry Trends and Solution Overview Memory Solutions Industry Trends and Solution Overview Outline Industry Trends & Market Status Existing SDRAM Technologies DDR3, DDR3L, DDR3U DDR3 Signaling LPDDR2/LPDDR3 LPDDR3 Signaling DDR4 DDR4 Signaling

More information

IMM64M64D1DVS8AG (Die Revision D) 512MByte (64M x 64 Bit)

IMM64M64D1DVS8AG (Die Revision D) 512MByte (64M x 64 Bit) Product Specification Rev. 1.0 2015 IMM64M64D1DVS8AG (Die Revision D) 512MByte (64M x 64 Bit) 512MB DDR VLP Unbuffered DIMM RoHS Compliant Product Product Specification 1.0 1 IMM64M64D1DVS8AG Version:

More information

IMME256M64D2SOD8AG (Die Revision E) 2GByte (256M x 64 Bit)

IMME256M64D2SOD8AG (Die Revision E) 2GByte (256M x 64 Bit) Product Specification Rev. 1.0 2015 IMME256M64D2SOD8AG (Die Revision E) 2GByte (256M x 64 Bit) 2GB DDR2 Unbuffered SO-DIMM By ECC DRAM RoHS Compliant Product Product Specification 1.0 1 IMME256M64D2SOD8AG

More information

IMME256M64D2DUD8AG (Die Revision E) 2GByte (256M x 64 Bit)

IMME256M64D2DUD8AG (Die Revision E) 2GByte (256M x 64 Bit) Product Specification Rev. 1.0 2015 IMME256M64D2DUD8AG (Die Revision E) 2GByte (256M x 64 Bit) 2GB DDR2 Unbuffered DIMM By ECC DRAM RoHS Compliant Product Product Specification 1.0 1 IMME256M64D2DUD8AG

More information

All unused entries will be coded as 0x00. All unused bits in defined bytes will be coded as 0 except where noted.

All unused entries will be coded as 0x00. All unused bits in defined bytes will be coded as 0 except where noted. Page 4.1.2.12 1 Annex L: Serial Presence Detect (SPD) for DDR4 SDRAM Modules DDR4 SPD Document Release 2 UDIMM Revision 1.0 RDIMM Revision 1.0 LRDIMM Revision 1.0 1.0 Introduction This annex describes

More information

DRAM Memory Modules Overview & Future Outlook. Bill Gervasi Vice President, DRAM Technology SimpleTech

DRAM Memory Modules Overview & Future Outlook. Bill Gervasi Vice President, DRAM Technology SimpleTech DRAM Memory Modules Overview & Future Outlook Bill Gervasi Vice President, DRAM Technology SimpleTech bilge@simpletech.com Many Applications, Many Configurations 2 Module Configurations DDR1 DDR2 Registered

More information

APPROVAL SHEET. Apacer Technology Inc. Apacer Technology Inc. CUSTOMER: 研華股份有限公司 APPROVED NO. : T0007 PCB PART NO. :

APPROVAL SHEET. Apacer Technology Inc. Apacer Technology Inc. CUSTOMER: 研華股份有限公司 APPROVED NO. : T0007 PCB PART NO. : Apacer Technology Inc. CUSTOMER: 研華股份有限公司 APPROVAL SHEET APPROVED NO. : 90004-T0007 ISSUE DATE MODULE PART NO. : March-2-2012 : 78.A1GAS.403 PCB PART NO. : 48.18193.093 IC Brand DESCRIPTION : Samsung :

More information

Enabling success from the center of technology. Interfacing FPGAs to Memory

Enabling success from the center of technology. Interfacing FPGAs to Memory Interfacing FPGAs to Memory Goals 2 Understand the FPGA/memory interface Available memory technologies Available memory interface IP & tools from Xilinx Compare Performance Cost Resources Demonstrate a

More information

APPROVAL SHEET. Apacer Technology Inc. Apacer Technology Inc. CUSTOMER: 研華股份有限公司 APPROVED NO. : T0031 PCB PART NO. :

APPROVAL SHEET. Apacer Technology Inc. Apacer Technology Inc. CUSTOMER: 研華股份有限公司 APPROVED NO. : T0031 PCB PART NO. : Apacer Technology Inc. CUSTOMER: 研華股份有限公司 APPROVAL SHEET APPROVED NO. : 90003-T0031 ISSUE DATE MODULE PART NO. : July-28-2011 : 78.02GC6.AF0 PCB PART NO. : 48.18220.090 IC Brand DESCRIPTION : Hynix : DDR3

More information

DDR4 Memory Technology on HP Z Workstations

DDR4 Memory Technology on HP Z Workstations Technical white paper DDR4 Memory Technology on HP Z Workstations DDR4 is the latest memory technology available for main memory on mobile, desktops, workstations, and server computers. DDR stands for

More information

Organization Row Address Column Address Bank Address Auto Precharge 128Mx8 (1GB) based module A0-A13 A0-A9 BA0-BA2 A10

Organization Row Address Column Address Bank Address Auto Precharge 128Mx8 (1GB) based module A0-A13 A0-A9 BA0-BA2 A10 GENERAL DESCRIPTION The Gigaram is ECC Registered Dual-Die DIMM with 1.25inch (30.00mm) height based on DDR2 technology. DIMMs are available as ECC modules in 256Mx72 (2GByte) organization and density,

More information

2GB DDR3 SDRAM 72bit SO-DIMM

2GB DDR3 SDRAM 72bit SO-DIMM 2GB 72bit SO-DIMM Speed Max CAS Component Number of Part Number Bandwidth Density Organization Grade Frequency Latency Composition Rank 78.A2GCF.AF10C 10.6GB/sec 1333Mbps 666MHz CL9 2GB 256Mx72 256Mx8

More information

IMM64M72D1SCS8AG (Die Revision D) 512MByte (64M x 72 Bit)

IMM64M72D1SCS8AG (Die Revision D) 512MByte (64M x 72 Bit) Product Specification Rev. 1.0 2015 IMM64M72D1SCS8AG (Die Revision D) 512MByte (64M x 72 Bit) RoHS Compliant Product Product Specification 1.0 1 IMM64M72D1SCS8AG Version: Rev. 1.0, MAY 2015 1.0 - Initial

More information

COSC 6385 Computer Architecture - Memory Hierarchies (III)

COSC 6385 Computer Architecture - Memory Hierarchies (III) COSC 6385 Computer Architecture - Memory Hierarchies (III) Edgar Gabriel Spring 2014 Memory Technology Performance metrics Latency problems handled through caches Bandwidth main concern for main memory

More information

Resistor layer. Side electrode Substrate. Side electrode Substrate

Resistor layer. Side electrode Substrate. Side electrode Substrate Dispenser Heat Epoxy Capillary Flow a.underfill Dispensing b.dispense Completed c.underfill Cure d.finished Product Anti- Sulfuration Protective layer Protective layer Plated layer Side electrode Substrate

More information

Developed Hybrid Memory System for New SoC. -Why choose Wide I/O?

Developed Hybrid Memory System for New SoC. -Why choose Wide I/O? Developed Hybrid Memory System for New SoC. -Why choose Wide I/O? Takashi Yamada Chief Architect, System LSI Business Division Mobile Forum 2014 Copyright 2014 - Panasonic Agenda 4K (UHD) market and changes

More information

ECE 485/585 Microprocessor System Design

ECE 485/585 Microprocessor System Design Microprocessor System Design Lecture 6: DDR, DDR2 and DDR-3 SDRAM Memory Modules Zeshan Chishti Electrical and Computer Engineering Dept. Maseeh College of Engineering and Computer Science Source: Lecture

More information

Features. DDR2 UDIMM w/o ECC Product Specification. Rev. 1.1 Aug. 2011

Features. DDR2 UDIMM w/o ECC Product Specification. Rev. 1.1 Aug. 2011 Features 240pin, unbuffered dual in-line memory module (UDIMM) Fast data transfer rates: PC2-4200, PC3-5300, PC3-6400 Single or Dual rank 512MB (64Meg x 64), 1GB(128 Meg x 64), 2GB (256 Meg x 64) JEDEC

More information

IMM64M72SDDUD8AG (Die Revision B) 512MByte (64M x 72 Bit)

IMM64M72SDDUD8AG (Die Revision B) 512MByte (64M x 72 Bit) Product Specification Rev. 1.0 2015 IMM64M72SDDUD8AG (Die Revision B) 512MByte (64M x 72 Bit) 512MB SDRAM ECC Unbuffered DIMM RoHS Compliant Product Product Specification 1.0 1 IMM64M72SDDUD8AG Version:

More information

DDR2 SDRAM UDIMM MT8HTF12864AZ 1GB

DDR2 SDRAM UDIMM MT8HTF12864AZ 1GB Features DDR2 SDRAM UDIMM MT8HTF12864AZ 1GB For component data sheets, refer to Micron's Web site: www.micron.com Figure 1: 240-Pin UDIMM (MO-237 R/C D) Features 240-pin, unbuffered dual in-line memory

More information

Features. DDR2 UDIMM with ECC Product Specification. Rev. 1.2 Aug. 2011

Features. DDR2 UDIMM with ECC Product Specification. Rev. 1.2 Aug. 2011 Features 240pin, unbuffered dual in-line memory module (UDIMM) Error Check Correction (ECC) Support Fast data transfer rates: PC2-4200, PC3-5300, PC3-6400 Single or Dual rank 512MB (64Meg x 72), 1GB(128

More information

LPDDR4: Evolution for new Mobile World

LPDDR4: Evolution for new Mobile World LPDDR4: Evolution for new Mobile World 2013.08.06 JungYong(JY) Choi Senior Manager Samsung Semiconductor Inc. 1 / 22 Legal Disclaimer This presentation is intended to provide information concerning memory

More information

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Interconnect Challenges in a Many Core Compute Environment Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Agenda Microprocessor general trends Implications Tradeoffs Summary

More information

Structure of Computer Systems. advantage of low latency, read and write operations with auto-precharge are recommended.

Structure of Computer Systems. advantage of low latency, read and write operations with auto-precharge are recommended. 148 advantage of low latency, read and write operations with auto-precharge are recommended. The MB81E161622 chip is targeted for small-scale systems. For that reason, the output buffer capacity has been

More information

Chapter 2: Memory Hierarchy Design (Part 3) Introduction Caches Main Memory (Section 2.2) Virtual Memory (Section 2.4, Appendix B.4, B.

Chapter 2: Memory Hierarchy Design (Part 3) Introduction Caches Main Memory (Section 2.2) Virtual Memory (Section 2.4, Appendix B.4, B. Chapter 2: Memory Hierarchy Design (Part 3) Introduction Caches Main Memory (Section 2.2) Virtual Memory (Section 2.4, Appendix B.4, B.5) Memory Technologies Dynamic Random Access Memory (DRAM) Optimized

More information

4GB Unbuffered DDR3 SDRAM SODIMM

4GB Unbuffered DDR3 SDRAM SODIMM INDÚSTRIA ELETRÔNICA S/A 4GB Unbuffered DDR3 SDRAM SODIMM HB3SU004GFM8DMB33 (512M words x 64bits, 2 Rank) Documento No. HBS- HB3SU004GFM8DMB33-1-E-10020. Publicação: Setembro de 2010 EK DATA SHEET 4GB

More information

LE4ASS21PEH 16GB Unbuffered 2048Mx64 DDR4 SO-DIMM 1.2V Up to PC CL

LE4ASS21PEH 16GB Unbuffered 2048Mx64 DDR4 SO-DIMM 1.2V Up to PC CL LE4ASS21PEH 16GB Unbuffered 2048Mx64 DDR4 SO-DIMM 1.2V Up to PC4-2133 CL 15-15-15 General Description This Legacy device is a JEDEC standard unbuffered SO-DIMM module, based on CMOS DDR4 SDRAM technology,

More information

BREAKING THE MEMORY WALL

BREAKING THE MEMORY WALL BREAKING THE MEMORY WALL CS433 Fall 2015 Dimitrios Skarlatos OUTLINE Introduction Current Trends in Computer Architecture 3D Die Stacking The memory Wall Conclusion INTRODUCTION Ideal Scaling of power

More information

Datasheet. Zetta 4Gbit DDR3L SDRAM. Features VDD=VDDQ=1.35V / V. Fully differential clock inputs (CK, CK ) operation

Datasheet. Zetta 4Gbit DDR3L SDRAM. Features VDD=VDDQ=1.35V / V. Fully differential clock inputs (CK, CK ) operation Zetta Datasheet Features VDD=VDDQ=1.35V + 0.100 / - 0.067V Fully differential clock inputs (CK, CK ) operation Differential Data Strobe (DQS, DQS ) On chip DLL align DQ, DQS and DQS transition with CK

More information

DDR SDRAM UDIMM. Draft 9/ 9/ MT18VDDT6472A 512MB 1 MT18VDDT12872A 1GB For component data sheets, refer to Micron s Web site:

DDR SDRAM UDIMM. Draft 9/ 9/ MT18VDDT6472A 512MB 1 MT18VDDT12872A 1GB For component data sheets, refer to Micron s Web site: DDR SDRAM UDIMM MT18VDDT6472A 512MB 1 MT18VDDT12872A 1GB For component data sheets, refer to Micron s Web site: www.micron.com 512MB, 1GB (x72, ECC, DR) 184-Pin DDR SDRAM UDIMM Features Features 184-pin,

More information

2GB Unbuffered DDR3 SDRAM DIMM

2GB Unbuffered DDR3 SDRAM DIMM INDÚSTRIA ELETRÔNICA S/A 2GB Unbuffered DDR3 SDRAM DIMM HB3DU002GFM8DMB33 (256M words x 64bits, 1 Rank) Documento No. HB3DU002GFM8DMB33-1-E-10022. Publicação: Setembro de 2010 EK DATA SHEET 2GB Unbuffered

More information

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation Dr. Li Li Distinguished Engineer June 28, 2016 Outline Evolution of Internet The Promise of Internet

More information

Real Time Embedded Systems

Real Time Embedded Systems Real Time Embedded Systems " Memories " rene.beuchat@epfl.ch LAP/ISIM/IC/EPFL Chargé de cours LSN/hepia Prof. HES 1998-2008 2 General classification of electronic memories Non-volatile Memories ROM PROM

More information

Towards an Heterogeneous Memory Channel with Hybrid Modules. Bill Gervasi October 2015

Towards an Heterogeneous Memory Channel with Hybrid Modules. Bill Gervasi October 2015 Towards an Heterogeneous Memory Channel with Hybrid Modules Bill Gervasi October 2015 DRAM NVMe CPU I/O Today s Non-Volatile Solutions 2 Clock Command RAS CAS Data D DRAM DRAM Interface CPU RAS-CAS command

More information

Basics DRAM ORGANIZATION. Storage element (capacitor) Data In/Out Buffers. Word Line. Bit Line. Switching element HIGH-SPEED MEMORY SYSTEMS

Basics DRAM ORGANIZATION. Storage element (capacitor) Data In/Out Buffers. Word Line. Bit Line. Switching element HIGH-SPEED MEMORY SYSTEMS Basics DRAM ORGANIZATION DRAM Word Line Bit Line Storage element (capacitor) In/Out Buffers Decoder Sense Amps... Bit Lines... Switching element Decoder... Word Lines... Memory Array Page 1 Basics BUS

More information

APPROVAL SHEET. Apacer Technology Inc. Apacer Technology Inc. CUSTOMER: 研華股份有限公司 APPROVED NO. : T0026 PCB PART NO. :

APPROVAL SHEET. Apacer Technology Inc. Apacer Technology Inc. CUSTOMER: 研華股份有限公司 APPROVED NO. : T0026 PCB PART NO. : Apacer Technology Inc. CUSTOMER: 研華股份有限公司 APPROVAL SHEET APPROVED NO. : 90004-T0026 ISSUE DATE MODULE PART NO. : July-26-2012 : 78.A1GDR.AF00C PCB PART NO. : 48.16221.090 IC Brand DESCRIPTION : Hynix :

More information

Organization Row Address Column Address Bank Address Auto Precharge 256Mx4 (1GB) based module A0-A13 A0-A9 BA0-BA2 A10

Organization Row Address Column Address Bank Address Auto Precharge 256Mx4 (1GB) based module A0-A13 A0-A9 BA0-BA2 A10 GENERAL DESCRIPTION The Gigaram GR2DR4BD-E4GBXXXVLP is a 512M bit x 72 DDDR2 SDRAM high density ECC REGISTERED DIMM. The GR2DR4BD-E4GBXXXVLP consists of eighteen CMOS 512M x 4 STACKED DDR2 SDRAMs for 4GB

More information

Towards Energy-Proportional Datacenter Memory with Mobile DRAM

Towards Energy-Proportional Datacenter Memory with Mobile DRAM Towards Energy-Proportional Datacenter Memory with Mobile DRAM Krishna Malladi 1 Frank Nothaft 1 Karthika Periyathambi Benjamin Lee 2 Christos Kozyrakis 1 Mark Horowitz 1 Stanford University 1 Duke University

More information

Emergence of Segment-Specific DDRn Memory Controller and PHY IP Solution. By Eric Esteve (PhD) Analyst. July IPnest.

Emergence of Segment-Specific DDRn Memory Controller and PHY IP Solution. By Eric Esteve (PhD) Analyst. July IPnest. Emergence of Segment-Specific DDRn Memory Controller and PHY IP Solution By Eric Esteve (PhD) Analyst July 2016 IPnest www.ip-nest.com Emergence of Segment-Specific DDRn Memory Controller IP Solution By

More information

Bringing 3D Integration to Packaging Mainstream

Bringing 3D Integration to Packaging Mainstream Bringing 3D Integration to Packaging Mainstream Enabling a Microelectronic World MEPTEC Nov 2012 Choon Lee Technology HQ, Amkor Highlighted TSV in Packaging TSMC reveals plan for 3DIC design based on silicon

More information

DDR2 SDRAM UDIMM MT16HTF25664AZ 2GB MT16HTF51264AZ 4GB For component data sheets, refer to Micron s Web site:

DDR2 SDRAM UDIMM MT16HTF25664AZ 2GB MT16HTF51264AZ 4GB For component data sheets, refer to Micron s Web site: DDR2 SDRAM UDIMM MT16HTF25664AZ 2GB MT16HTF51264AZ 4GB For component data sheets, refer to Micron s Web site: www.micron.com 2GB, 4GB (x64, DR): 240-Pin DDR2 SDRAM UDIMM Features Features 240-pin, unbuffered

More information

High-Speed DDR4 Memory Designs and Power Integrity Analysis

High-Speed DDR4 Memory Designs and Power Integrity Analysis High-Speed DDR4 Memory Designs and Power Integrity Analysis Cuong Nguyen Field Application Engineer cuong@edadirect.com www.edadirect.com 2014 1 PCB Complexity is Accelerating Use of Advanced Technologies

More information

2-Channel 2-Layer Inner-Stack Memory-module Design for LPDDR2/3 DRAM

2-Channel 2-Layer Inner-Stack Memory-module Design for LPDDR2/3 DRAM SPI2012 2-Channel 2-Layer Inner-Stack Memory-module Design for LPDDR2/3 DRAM May 14, 2012 Jongjoo Lee jjdragon@ieee.org Joon Ki Paek and Joonhee Lee Solution Development Team Memory Division Samsung Electronics

More information

Advancing high performance heterogeneous integration through die stacking

Advancing high performance heterogeneous integration through die stacking Advancing high performance heterogeneous integration through die stacking Suresh Ramalingam Senior Director, Advanced Packaging European 3D TSV Summit Jan 22 23, 2013 The First Wave of 3D ICs Perfecting

More information

DDR SDRAM UDIMM MT16VDDT6464A 512MB MT16VDDT12864A 1GB MT16VDDT25664A 2GB

DDR SDRAM UDIMM MT16VDDT6464A 512MB MT16VDDT12864A 1GB MT16VDDT25664A 2GB DDR SDRAM UDIMM MT16VDDT6464A 512MB MT16VDDT12864A 1GB MT16VDDT25664A 2GB For component data sheets, refer to Micron s Web site: www.micron.com 512MB, 1GB, 2GB (x64, DR) 184-Pin DDR SDRAM UDIMM Features

More information

Facilitating IP Development for the OpenCAPI Memory Interface Kevin McIlvain, Memory Development Engineer IBM. Join the Conversation #OpenPOWERSummit

Facilitating IP Development for the OpenCAPI Memory Interface Kevin McIlvain, Memory Development Engineer IBM. Join the Conversation #OpenPOWERSummit Facilitating IP Development for the OpenCAPI Memory Interface Kevin McIlvain, Memory Development Engineer IBM Join the Conversation #OpenPOWERSummit Moral of the Story OpenPOWER is the best platform to

More information

DDR4 LRDIMMs Let You Have It All

DDR4 LRDIMMs Let You Have It All DDR4 LRDIMMs Let You Have It All LRDIMMs provide a superior alternative solution for both deeper memory and higher data bandwidth By Douglas Malech and Sameer Kuppahalli, IDT and Ryan Baxter and Eric Caward,

More information

DDR3L-1.35V Load Reduced DIMM Module

DDR3L-1.35V Load Reduced DIMM Module DDR3L-1.35V Load Reduced DIMM Module 32GB based on 8Gbit-DDP component FBGA with Pb-Free Revision 1.0 (Oct. 2013) -Initial Release 1 2006 Super Talent Tech., Corporation. 1.0 Feature JEDEC standard Double

More information

Low Power & High Bandwidth Memory Trend

Low Power & High Bandwidth Memory Trend Low Power & High Bandwidth Memory Trend JEDEC Mobile & IOT Forum Copyright 2016 [ SK hynix / Sungmin(Sam) Park] Where Do We Stand? SK hynix Developed the World s First Next Generation Mobile Memory: LPDDR4

More information

Options. Data Rate (MT/s) CL = 3 CL = 2.5 CL = 2-40B PC PC PC

Options. Data Rate (MT/s) CL = 3 CL = 2.5 CL = 2-40B PC PC PC DDR SDRAM UDIMM MT16VDDF6464A 512MB 1 MT16VDDF12864A 1GB 1 For component data sheets, refer to Micron s Web site: www.micron.com 512MB, 1GB (x64, DR) 184-Pin DDR SDRAM UDIMM Features Features 184-pin,

More information

High Performance Memory in FPGAs

High Performance Memory in FPGAs High Performance Memory in FPGAs Industry Trends and Customer Challenges Packet Processing & Transport > 400G OTN Software Defined Networks Video Over IP Network Function Virtualization Wireless LTE Advanced

More information

Interposer Technology: Past, Now, and Future

Interposer Technology: Past, Now, and Future Interposer Technology: Past, Now, and Future Shang Y. Hou TSMC 侯上勇 3D TSV: Have We Waited Long Enough? Garrou (2014): A Little More Patience Required for 2.5/3D All things come to those who wait In 2016,

More information

High performance HBM Known Good Stack Testing

High performance HBM Known Good Stack Testing High performance HBM Known Good Stack Testing FormFactor Teradyne Overview High Bandwidth Memory (HBM) Market and Technology Probing challenges Probe solution Power distribution challenges PDN design Simulation

More information

Near Term Solutions for 3D Memory Stacking (DRAM) Wael Zohni, Invensas Corporation

Near Term Solutions for 3D Memory Stacking (DRAM) Wael Zohni, Invensas Corporation Near Term Solutions for 3D Memory Stacking (DRAM) Wael Zohni, Invensas Corporation 1 Contents DRAM Packaging Paradigm Dual-Face-Down (DFD) Package DFD-based 4R 8GB RDIMM Invensas xfd Technology Platform

More information

Features. DDR3 Registered DIMM Spec Sheet

Features. DDR3 Registered DIMM Spec Sheet Features DDR3 functionality and operations supported as defined in the component data sheet 240-pin, Registered Dual In-line Memory Module (RDIMM) Fast data transfer rates: PC3-8500, PC3-10600, PC3-12800

More information

DDR2 SDRAM UDIMM MT4HTF1664AY 128MB MT4HTF3264AY 256MB MT4HTF6464AY 512MB. Features. 128MB, 256MB, 512MB (x64, SR) 240-Pin DDR2 SDRAM UDIMM.

DDR2 SDRAM UDIMM MT4HTF1664AY 128MB MT4HTF3264AY 256MB MT4HTF6464AY 512MB. Features. 128MB, 256MB, 512MB (x64, SR) 240-Pin DDR2 SDRAM UDIMM. DDR2 SDRAM UDIMM MT4HTF1664AY 128MB MT4HTF3264AY 256MB MT4HTF6464AY 512MB 128MB, 256MB, 512MB (x64, SR) 240-Pin DDR2 SDRAM UDIMM Features Features 240-pin, unbuffered dual in-line memory module (UDIMM)

More information

DDR4: Designing for Power and Performance

DDR4: Designing for Power and Performance DDR4: Designing for Power and Performance Agenda Comparison between DDR3 and DDR4 Designing for power DDR4 power savings Designing for performance Creating a data valid window Good layout practices for

More information

DDR4 OverClock UDIMM Module

DDR4 OverClock UDIMM Module DDR4 OverClock UDIMM Module 16GB based on 8Gbit component TFBGA with Pb-Free Revision 1.0 (May. 2016) -Initial Release 1 2006 Super Talent Tech., Corporation. SUPER*TALENT DDR4 OverClock Series is specifically

More information

DDR2 SDRAM UDIMM MT9HTF6472AZ 512MB MT9HTF12872AZ 1GB MT9HTF25672AZ 2GB. Features. 512MB, 1GB, 2GB (x72, SR) 240-Pin DDR2 SDRAM UDIMM.

DDR2 SDRAM UDIMM MT9HTF6472AZ 512MB MT9HTF12872AZ 1GB MT9HTF25672AZ 2GB. Features. 512MB, 1GB, 2GB (x72, SR) 240-Pin DDR2 SDRAM UDIMM. DDR2 SDRAM UDIMM MT9HTF6472AZ 512MB MT9HTF12872AZ 1GB MT9HTF25672AZ 2GB 512MB, 1GB, 2GB (x72, SR) 240-Pin DDR2 SDRAM UDIMM Features Features 240-pin, unbuffered dual in-line memory module Fast data transfer

More information

256MEGX72 (DDR2-SOCDIMM W/PLL)

256MEGX72 (DDR2-SOCDIMM W/PLL) www.centon.com MEMORY SPECIFICATIONS 256MEGX72 (DDR2-SOCDIMM W/PLL) 128MX8 BASED Lead-Free 268,435,456 words x 72Bit Double Data Rate Memory Module Centon's 2GB DDR2 ECC PLL SODIMM Memory Module is 268,435,456

More information

Dell PowerEdge 11 th Generation Servers: R810, R910, and M910 Memory Guidance

Dell PowerEdge 11 th Generation Servers: R810, R910, and M910 Memory Guidance Dell PowerEdge 11 th Generation Servers: R810, R910, and M910 Memory Guidance A Dell Technical White Paper Dell Product Group Armando Acosta and James Pledge THIS WHITE PAPER IS FOR INFORMATIONAL PURPOSES

More information

DDR2 SDRAM UDIMM MT18HTF12872AZ 1GB MT18HTF25672AZ 2GB MT18HTF51272AZ 4GB. Features. 1GB, 2GB, 4GB (x72, ECC, DR) 240-Pin DDR2 SDRAM UDIMM.

DDR2 SDRAM UDIMM MT18HTF12872AZ 1GB MT18HTF25672AZ 2GB MT18HTF51272AZ 4GB. Features. 1GB, 2GB, 4GB (x72, ECC, DR) 240-Pin DDR2 SDRAM UDIMM. DDR SDRAM UDIMM MT8HTF87AZ GB MT8HTF567AZ GB MT8HTF57AZ 4GB GB, GB, 4GB (x7, ECC, DR) 40-Pin DDR SDRAM UDIMM Features Features 40-pin, unbuffered dual in-line memory module Fast data transfer rates: PC-8500,

More information

Future Matters US Disclosure

Future Matters US Disclosure Disclaimer This presentation has been prepared by Samsung Electronics Co., Ltd. (the "Company"). It does not purport to contain all the information that a prospective investor may require in connection

More information

Comparison & highlight on the last 3D TSV technologies trends Romain Fraux

Comparison & highlight on the last 3D TSV technologies trends Romain Fraux Comparison & highlight on the last 3D TSV technologies trends Romain Fraux Advanced Packaging & MEMS Project Manager European 3D Summit 18 20 January, 2016 Outline About System Plus Consulting 2015 3D

More information

DDR SDRAM UDIMM MT8VDDT3264A 256MB MT8VDDT6464A 512MB For component data sheets, refer to Micron s Web site:

DDR SDRAM UDIMM MT8VDDT3264A 256MB MT8VDDT6464A 512MB For component data sheets, refer to Micron s Web site: DDR SDRAM UDIMM MT8VDDT3264A 256MB MT8VDDT6464A 512MB For component data sheets, refer to Micron s Web site: www.micron.com 256MB, 512MB (x64, SR) 184-Pin DDR SDRAM UDIMM Features Features 184-pin, unbuffered

More information

1. The values of t RCD and t RP for -335 modules show 18ns to align with industry specifications; actual DDR SDRAM device specifications are 15ns.

1. The values of t RCD and t RP for -335 modules show 18ns to align with industry specifications; actual DDR SDRAM device specifications are 15ns. UDIMM MT4VDDT1664A 128MB MT4VDDT3264A 256MB For component data sheets, refer to Micron s Web site: www.micron.com 128MB, 256MB (x64, SR) 184-Pin UDIMM Features Features 184-pin, unbuffered dual in-line

More information

HLNAND: A New Standard for High Performance Flash Memory

HLNAND: A New Standard for High Performance Flash Memory HLNAND: A New Standard for High Performance Flash Memory Peter Gillingham MOSAID Technologies Inc. gillingham@mosaid.com August 2008 1 Objectives Address performance and density requirements of Solid State

More information

SAMSUNG Process Change Notification 4Gb DDR3 SDRAM B-die 78FBGA [ A-die (2th Gen.) to B-die (3th Gen.) ]

SAMSUNG Process Change Notification 4Gb DDR3 SDRAM B-die 78FBGA [ A-die (2th Gen.) to B-die (3th Gen.) ] SAMSUNG Process Change Notification 4Gb DDR3 SDRAM B-die 78FBGA [ A-die (2th Gen.) to B-die (3th Gen.) ] February, 2011 Memory Quality Assurance Samsung Electronics Co., Ltd. PCM110222001 Caution for Document

More information

DDR4 OverClock UDIMM Module

DDR4 OverClock UDIMM Module DDR4 OverClock UDIMM Module 8GB based on 8Gbit component TFBGA with Pb-Free Revision 1.0 (May. 2016) -Initial Release 1 2006 Super Talent Tech., Corporation. SUPER*TALENT DDR4 OverClock Series is specifically

More information

D G28RA 128M x 64 HIGH PERFORMANCE PC UNBUFFERED DDR3 SDRAM SODIMM

D G28RA 128M x 64 HIGH PERFORMANCE PC UNBUFFERED DDR3 SDRAM SODIMM D93 6865G28RA 128M x 64 HIGH PERFORMANCE PC3-10600 UNBUFFERED DDR3 SDRAM SODIMM Features 240- Dual In-Line Memory Module (UDIMM) Inputs and outputs are SSTL-15 compatible V DD = V DDQ = 1.5V ± 0.075V Differential

More information

Packaging for the. Contents. Cloud Computing Era. DIMM-in-a- Package/xFD. BVA PoP. Conclusions. Ilyas Mohammed January 24, /24/2013

Packaging for the. Contents. Cloud Computing Era. DIMM-in-a- Package/xFD. BVA PoP. Conclusions. Ilyas Mohammed January 24, /24/2013 Packaging for the Cloud Computing Era Ilyas Mohammed January 24, 2013 Contents Cloud Computing DIMM-in-a- Package/xFD BVA PoP Conclusions Client-Server Design and Performance Features Roadmaps Trends Assembly

More information

DDR2 SDRAM SODIMM MT16HTF12864HZ 1GB MT16HTF25664HZ 2GB. Features. 1GB, 2GB (x64, DR) 200-Pin DDR2 SDRAM SODIMM. Features

DDR2 SDRAM SODIMM MT16HTF12864HZ 1GB MT16HTF25664HZ 2GB. Features. 1GB, 2GB (x64, DR) 200-Pin DDR2 SDRAM SODIMM. Features DDR SDRAM SODIMM MT6HTF864HZ GB MT6HTF5664HZ GB GB, GB (x64, DR) 00-Pin DDR SDRAM SODIMM Features Features 00-pin, small-outline dual in-line memory module (SODIMM) Fast data transfer rates: PC-300, PC-400,

More information

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs Open-Silicon.com 490 N. McCarthy Blvd, #220 Milpitas, CA 95035 408-240-5700 HQ High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs Open-Silicon Asim Salim VP Mfg. Operations 20+ experience

More information

COSC 6385 Computer Architecture - Memory Hierarchies (II)

COSC 6385 Computer Architecture - Memory Hierarchies (II) COSC 6385 Computer Architecture - Memory Hierarchies (II) Edgar Gabriel Spring 2018 Types of cache misses Compulsory Misses: first access to a block cannot be in the cache (cold start misses) Capacity

More information

When Less Is More: Bigger & Faster Memory in Shrinking Packages for the Mobile Market

When Less Is More: Bigger & Faster Memory in Shrinking Packages for the Mobile Market When Less Is More: Bigger & Faster Memory in Shrinking Packages for the Mobile Market Kathy Choe Thomas, Flash Product Mktg Samsung Semiconductor, Inc. Living in the Connected World Like it or Not, We

More information

SC64G1A08. DDR3-1600F(CL7) 240-Pin XMP(ver 2.0) U-DIMM 1GB (128M x 64-bits)

SC64G1A08. DDR3-1600F(CL7) 240-Pin XMP(ver 2.0) U-DIMM 1GB (128M x 64-bits) SC64G1A08 DDR3-1600F(CL7) 240-Pin XMP(ver 2.0) U-DIMM 1GB (128M x 64-bits) General Description The ADATA s SC64G1A08 is a 128Mx64 bits 1GB(1024MB) DDR3-1600(CL7) SDRAM XMP (ver 2.0) memory module, The

More information

IMEC CORE CMOS P. MARCHAL

IMEC CORE CMOS P. MARCHAL APPLICATIONS & 3D TECHNOLOGY IMEC CORE CMOS P. MARCHAL OUTLINE What is important to spec 3D technology How to set specs for the different applications - Mobile consumer - Memory - High performance Conclusions

More information

3D Integration & Packaging Challenges with through-silicon-vias (TSV)

3D Integration & Packaging Challenges with through-silicon-vias (TSV) NSF Workshop 2/02/2012 3D Integration & Packaging Challenges with through-silicon-vias (TSV) Dr John U. Knickerbocker IBM - T.J. Watson Research, New York, USA Substrate IBM Research Acknowledgements IBM

More information

PC2-6400/PC2-5300/PC2-4200/PC Registered DIMM Design Specification Revision 3.40 August 2006

PC2-6400/PC2-5300/PC2-4200/PC Registered DIMM Design Specification Revision 3.40 August 2006 JEDEC Standard No. 21C Page 4.20.10-1 4.20.10-240-Pin PC-6400/PC2-5300/PC2-4200/PC2-3200 DDR2 SDRAM ed DIMM Design Specification PC2-6400/PC2-5300/PC2-4200/PC2-3200 ed DIMM Design Specification Revision

More information

Designing High-Speed Memory Subsystem DDR. using. Cuong Nguyen. Field Application Engineer

Designing High-Speed Memory Subsystem DDR. using. Cuong Nguyen. Field Application Engineer Designing High-Speed Memory Subsystem using DDR Cuong Nguyen Field Application Engineer cuong@edadirect.com www.edadirect.com 2014 1 Your Design for Excellence Partner Since 1997 EDA Direct has helped

More information

Overview of packaging DRAMs and use of RDL

Overview of packaging DRAMs and use of RDL Overview of packaging DRAMs and use of RDL ECG 721 Memory Circuit Design Kostas Moutafis April 2017 A die photograph of the Micron Technology MT4C1024 DRAM integrated circuit. It has a capacity of 1 megabit,

More information

Memory. Changhyun Kim Semiconductor Business Samsung Electronics Co., Ltd.

Memory. Changhyun Kim Semiconductor Business Samsung Electronics Co., Ltd. Memory in the Multimedia Era Changhyun Kim Semiconductor Business Samsung Electronics Co., Ltd. chang.kim@samsung.com Contents I. Introduction 1. Semiconductor Industry Trend 2. State-of-the-Art Memory

More information

DDR SDRAM SODIMM MT8VDDT1664H 128MB 1. MT8VDDT3264H 256MB 2 MT8VDDT6464H 512MB For component data sheets, refer to Micron s Web site:

DDR SDRAM SODIMM MT8VDDT1664H 128MB 1. MT8VDDT3264H 256MB 2 MT8VDDT6464H 512MB For component data sheets, refer to Micron s Web site: SODIMM MT8VDDT1664H 128MB 1 128MB, 256MB, 512MB (x64, SR) 200-Pin SODIMM Features MT8VDDT3264H 256MB 2 MT8VDDT6464H 512MB For component data sheets, refer to Micron s Web site: www.micron.com Features

More information

The following is the SPD address map for all DDR3 modules. It describes where the individual lookup table entries will be held in the serial EEPROM.

The following is the SPD address map for all DDR3 modules. It describes where the individual lookup table entries will be held in the serial EEPROM. Page 4.1.2.11 1 Annex K: Serial Presence Detect (SPD) for DDR3 SDRAM Modules SPD Revision 1.0 1.0 Introduction This annex describes the serial presence detect (SPD) values for all DDR3 modules. Differences

More information