High Performance Memory in FPGAs

Size: px
Start display at page:

Download "High Performance Memory in FPGAs"

Transcription

1 High Performance Memory in FPGAs

2 Industry Trends and Customer Challenges Packet Processing & Transport > 400G OTN Software Defined Networks Video Over IP Network Function Virtualization Wireless LTE Advanced Early 5G Cloud-RAN Heterogeneous Wireless Networks Video and Vision 8K/4K Resolution Augmented Reality Immersive Display Video Analytics Cloud and Data Center Acceleration Software Defined Data Center Big Data Public and Private Cloud Industrial lot Machine to Machine Industry 40 Embedded Vision Sensory Fusion Cyber-Physical 1 Performance & Power Scalability 2 System Integration & Intelligence 3 Security, Safety & Reliability Page 2

3 FPGA as System Enabler Security AES-GCM mode, greater key protection, more authentication schemes Co-Optimized 27x18 X DSP Wider multipliers, fewer blocks per function SSI Technology Virtual monolithic die Block RAM Block RAM Hardened data cascading Improved power, performance DDR4 Memory I/O 30% higher data rates 20% lower power Transceivers 125G low speed grade 16G & 28G backplane 33G chip-to-chip Integrated IP 100G Ethernet MAC 150G Interlaken PCI Express Gen3 Page 3

4 System Cost 3D-on-3D: Another Industry First Monolithic 3D IC Lower System Cost Higher Integration High-end Low and Mid-range Integration First 3D Transistors on 3 rd Generation 3D ICs 3D Transistors: Non-linear improvement in performance/watt over planar transistors 3D IC: Non-linear improvements in integration & bandwidth/watt over monolithic ICs Page 4

5 ASIC/CPU MPSoC ASIC/CPU MPSoC I/O Serial Link Core Vector Clocking Package Supply Rails Features 6x 2400 Mbps DDR4 (Xeon Phi) 32 PCIe + 3 QPI (Xeon 7) 36 PCIe Known pipeline and worst case power vector Single trunk clock Mostly < 10 > 10 Limited features for specific applications 5x 2667 Mbps DDR4 OR 9x 2400 Mbps DDR Gbps GTY Transceivers Dependent on customer design Multiple trunk clocks; Highly configurable clocking Packed with features to cover variable customer needs (DSP, Networking IP and etc) Virtex 3D FinFET on 3 rd Gen 3D IC 3D IC Kintex Memory & BW Enhanced FPGA Zynq All Programmable MPSoC Software N/A Programmable Circuit Page 5

6 FPGA Memory System Overview Verification & Test * Pre-Si Verification * System bring up & Validation IO Clocking Overall System Memory Performance PHY * Architecture * Physical Design * SW PCB & DRAM * Customer Boards * Memory Vendor Memory Controller * Soft IP core * SW integration Signal and Power Integrity Package Design

7 Memory is a System Level Optimization Challenge PLL Data Package IO Memory Interface Power Grid Network DLL System level tradeoff and optimization DLL DRAM Board Trace Customer System Page 7

8 Critical Path DOE Optimization/Simulation Silicon Package Board > 30 variables Multi Million Simulations ~ 1000 DOE Fast Convergence High Coverage 171ps@1E ps@1E-14 Before After Page 8

9 Packaging A Headache or Opportunity for Innovation and Product Differentiation? Cu-pillar BOT and ETS Panel/Wafer level 3D Wafer level fan-out Cu-wire 3D TSV Flip Chip CSP CSPs Flip Chip PoP Cavity Down BGAs SiP Flip Chip BGAs Pb-free/Cu pillar bump Active Stacking PBGAs Flip Chip BGAs EU bump Homogeneous SSIT QFPs Until 1990 Flip Chip BGAs High Pb/EU bump Multi Chip Module 25D: SOC + HBM Photonics IOs Surface Mount Leadframe 1 st Generation BGAs 2 nd Generation BGAs: Flip Chip 3 rd Generation: WL, 25D, 3D 3D & Photonics Integration

10 Nanometer to Meter and khz to 33 Gbps Transistor (nm) Package (mm) Memory Connectors Board (meter/inch) VR Component Page 10

11 Physical Layout/Stack-up Example um/mm sub-um mil/inch Page 11

12 FPGA Package Technology Impact 1404 HPIO pins

13 System Memory Channel Design FPGA Page 13

14 Power Delivery System Overview VID Sense Page 14

15 Power Delivery Result Robust Timing Integrity Quiet Noise Timing Integrity Page 15

16 FPGA Platform Memory Validation Arch PHY+IO+Fabric Software + Tools 3D + 3D Package SI/PI DDR4 System Validation

17 System Validation DIMM 4 DRAMs 5 DRAMs 9 DRAMs FPGA

18 DDR4 Memory Write 32 Gbps Write Data Eye Capture Probes Attachment

19 Challenges

20 Q & A

High Capacity and High Performance 20nm FPGAs. Steve Young, Dinesh Gaitonde August Copyright 2014 Xilinx

High Capacity and High Performance 20nm FPGAs. Steve Young, Dinesh Gaitonde August Copyright 2014 Xilinx High Capacity and High Performance 20nm FPGAs Steve Young, Dinesh Gaitonde August 2014 Not a Complete Product Overview Page 2 Outline Page 3 Petabytes per month Increasing Bandwidth Global IP Traffic Growth

More information

Advancing high performance heterogeneous integration through die stacking

Advancing high performance heterogeneous integration through die stacking Advancing high performance heterogeneous integration through die stacking Suresh Ramalingam Senior Director, Advanced Packaging European 3D TSV Summit Jan 22 23, 2013 The First Wave of 3D ICs Perfecting

More information

Stacked Silicon Interconnect Technology (SSIT)

Stacked Silicon Interconnect Technology (SSIT) Stacked Silicon Interconnect Technology (SSIT) Suresh Ramalingam Xilinx Inc. MEPTEC, January 12, 2011 Agenda Background and Motivation Stacked Silicon Interconnect Technology Summary Background and Motivation

More information

Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing

Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing Vincent Tong Senior Vice President & Asia Pacific Executive Leader Copyright 2011 Xilinx Agenda Xilinx Business Drivers All in at

More information

All Programmable: from Silicon to System

All Programmable: from Silicon to System All Programmable: from Silicon to System Ivo Bolsens, Senior Vice President & CTO Page 1 Moore s Law: The Technology Pipeline Page 2 Industry Debates Variability Page 3 Industry Debates on Cost Page 4

More information

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Interconnect Challenges in a Many Core Compute Environment Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Agenda Microprocessor general trends Implications Tradeoffs Summary

More information

Beyond Moore. Beyond Programmable Logic.

Beyond Moore. Beyond Programmable Logic. Beyond Moore Beyond Programmable Logic Steve Trimberger Xilinx Research FPL 30 August 2012 Beyond Moore Beyond Programmable Logic Agenda What is happening in semiconductor technology? Moore s Law More

More information

OCP Engineering Workshop - Telco

OCP Engineering Workshop - Telco OCP Engineering Workshop - Telco Low Latency Mobile Edge Computing Trevor Hiatt Product Management, IDT IDT Company Overview Founded 1980 Workforce Approximately 1,800 employees Headquarters San Jose,

More information

Interposer Technology: Past, Now, and Future

Interposer Technology: Past, Now, and Future Interposer Technology: Past, Now, and Future Shang Y. Hou TSMC 侯上勇 3D TSV: Have We Waited Long Enough? Garrou (2014): A Little More Patience Required for 2.5/3D All things come to those who wait In 2016,

More information

The FPGA: An Engine for Innovation in Silicon and Packaging Technology

The FPGA: An Engine for Innovation in Silicon and Packaging Technology The FPGA: An Engine for Innovation in Silicon and Packaging Technology Liam Madden Corporate Vice President September 2 nd, 2014 The Zynq Book Embedded Processing with the ARM Cortex-A9 on the Xilinx Zynq

More information

Multi-Die Packaging How Ready Are We?

Multi-Die Packaging How Ready Are We? Multi-Die Packaging How Ready Are We? Rich Rice ASE Group April 23 rd, 2015 Agenda ASE Brief Integration Drivers Multi-Chip Packaging 2.5D / 3D / SiP / SiM Design / Co-Design Challenges: an OSAT Perspective

More information

Advanced Heterogeneous Solutions for System Integration

Advanced Heterogeneous Solutions for System Integration Advanced Heterogeneous Solutions for System Integration Kees Joosse Director Sales, Israel TSMC High-Growth Applications Drive Product and Technology Smartphone Cloud Data Center IoT CAGR 12 17 20% 24%

More information

Extending the Power of FPGAs

Extending the Power of FPGAs Extending the Power of FPGAs The Journey has Begun Salil Raje Xilinx Corporate Vice President Software and IP Products Development Agenda The Evolution of FPGAs and FPGA Programming IP-Centric Design with

More information

Packaging Technology for Image-Processing LSI

Packaging Technology for Image-Processing LSI Packaging Technology for Image-Processing LSI Yoshiyuki Yoneda Kouichi Nakamura The main function of a semiconductor package is to reliably transmit electric signals from minute electrode pads formed on

More information

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation Dr. Li Li Distinguished Engineer June 28, 2016 Outline Evolution of Internet The Promise of Internet

More information

HES-7 ASIC Prototyping

HES-7 ASIC Prototyping Rev. 1.9 September 14, 2012 Co-authored by: Slawek Grabowski and Zibi Zalewski, Aldec, Inc. Kirk Saban, Xilinx, Inc. Abstract This paper highlights possibilities of ASIC verification using FPGA-based prototyping,

More information

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs Open-Silicon.com 490 N. McCarthy Blvd, #220 Milpitas, CA 95035 408-240-5700 HQ High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs Open-Silicon Asim Salim VP Mfg. Operations 20+ experience

More information

Xilinx SSI Technology Concept to Silicon Development Overview

Xilinx SSI Technology Concept to Silicon Development Overview Xilinx SSI Technology Concept to Silicon Development Overview Shankar Lakka Aug 27 th, 2012 Agenda Economic Drivers and Technical Challenges Xilinx SSI Technology, Power, Performance SSI Development Overview

More information

Chapter 0 Introduction

Chapter 0 Introduction Chapter 0 Introduction Jin-Fu Li Laboratory Department of Electrical Engineering National Central University Jhongli, Taiwan Applications of ICs Consumer Electronics Automotive Electronics Green Power

More information

Zynq-7000 All Programmable SoC Product Overview

Zynq-7000 All Programmable SoC Product Overview Zynq-7000 All Programmable SoC Product Overview The SW, HW and IO Programmable Platform August 2012 Copyright 2012 2009 Xilinx Introducing the Zynq -7000 All Programmable SoC Breakthrough Processing Platform

More information

3D Integration & Packaging Challenges with through-silicon-vias (TSV)

3D Integration & Packaging Challenges with through-silicon-vias (TSV) NSF Workshop 2/02/2012 3D Integration & Packaging Challenges with through-silicon-vias (TSV) Dr John U. Knickerbocker IBM - T.J. Watson Research, New York, USA Substrate IBM Research Acknowledgements IBM

More information

Pushing the Boundaries of Moore's Law to Transition from FPGA to All Programmable Platform Ivo Bolsens, SVP & CTO Xilinx ISPD, March 2017

Pushing the Boundaries of Moore's Law to Transition from FPGA to All Programmable Platform Ivo Bolsens, SVP & CTO Xilinx ISPD, March 2017 Pushing the Boundaries of Moore's Law to Transition from FPGA to All Programmable Platform Ivo Bolsens, SVP & CTO Xilinx ISPD, March 2017 High Growth Markets Cloud Computing Automotive IIoT 5G Wireless

More information

Copyright 2017 Xilinx.

Copyright 2017 Xilinx. All Programmable Automotive SoC Comparison XA Zynq UltraScale+ MPSoC ZU2/3EG, ZU4/5EV Devices XA Zynq -7000 SoC Z-7010/7020/7030 Devices Application Processor Real-Time Processor Quad-core ARM Cortex -A53

More information

Kevin Donnelly, General Manager, Memory and Interface Division

Kevin Donnelly, General Manager, Memory and Interface Division Kevin Donnelly, General Manager, Memory and Interface Division Robust system solutions including memory and serial link interfaces that increase SoC and system quality. Driving Factors for Systems Today

More information

Advanced CSP & Turnkey Solutions. Fumio Ohyama Tera Probe, Inc.

Advanced CSP & Turnkey Solutions. Fumio Ohyama Tera Probe, Inc. Advanced CSP & Turnkey Solutions Fumio Ohyama Tera Probe, Inc. Tera Probe - Corporate Overview 1. Company : Tera Probe, Inc. 2. Founded : August, 2005 3. Capital : Approx. USD118.2 million (as of March

More information

Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol SerDes PHY IP

Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol SerDes PHY IP Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol IP By William Chen and Osman Javed, Cadence Design Systems Applications such as the Internet of Things, cloud computing, and high-definition

More information

3D & Advanced Packaging

3D & Advanced Packaging Tuesday, October 03, 2017 Company Overview March 12, 2015 3D & ADVANCED PACKAGING IS NOW WITHIN REACH WHAT IS NEXT LEVEL INTEGRATION? Next Level Integration blends high density packaging with advanced

More information

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012 3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012 What the fuss is all about * Source : ECN Magazine March 2011 * Source : EDN Magazine

More information

Zynq AP SoC Family

Zynq AP SoC Family Programmable Logic (PL) Processing System (PS) Zynq -7000 AP SoC Family Cost-Optimized Devices Mid-Range Devices Device Name Z-7007S Z-7012S Z-7014S Z-7010 Z-7015 Z-7020 Z-7030 Z-7035 Z-7045 Z-7100 Part

More information

S2C K7 Prodigy Logic Module Series

S2C K7 Prodigy Logic Module Series S2C K7 Prodigy Logic Module Series Low-Cost Fifth Generation Rapid FPGA-based Prototyping Hardware The S2C K7 Prodigy Logic Module is equipped with one Xilinx Kintex-7 XC7K410T or XC7K325T FPGA device

More information

Facilitating IP Development for the OpenCAPI Memory Interface Kevin McIlvain, Memory Development Engineer IBM. Join the Conversation #OpenPOWERSummit

Facilitating IP Development for the OpenCAPI Memory Interface Kevin McIlvain, Memory Development Engineer IBM. Join the Conversation #OpenPOWERSummit Facilitating IP Development for the OpenCAPI Memory Interface Kevin McIlvain, Memory Development Engineer IBM Join the Conversation #OpenPOWERSummit Moral of the Story OpenPOWER is the best platform to

More information

Trickle Up: Photonics and the Future of Computing Justin Rattner Chief Technology Officer Intel Corporation

Trickle Up: Photonics and the Future of Computing Justin Rattner Chief Technology Officer Intel Corporation Trickle Up: Photonics and the Future of Computing Justin Rattner Chief Technology Officer Intel Corporation * Other names, logos and brands may be claimed as the property of others. Copyright 2009, Intel

More information

IGLOO2 Evaluation Kit Webinar

IGLOO2 Evaluation Kit Webinar Power Matters. IGLOO2 Evaluation Kit Webinar Jamie Freed jamie.freed@microsemi.com August 29, 2013 Overview M2GL010T- FG484 $99* LPDDR 10/100/1G Ethernet SERDES SMAs USB UART Available Demos Small Form

More information

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape Edition April 2017 Semiconductor technology & processing 3D systems-on-chip A clever partitioning of circuits to improve area, cost, power and performance. In recent years, the technology of 3D integration

More information

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D,

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D, WLSI Extends Si Processing and Supports Moore s Law Douglas Yu TSMC R&D, chyu@tsmc.com SiP Summit, Semicon Taiwan, Taipei, Taiwan, Sep. 9 th, 2016 Introduction Moore s Law Challenges Heterogeneous Integration

More information

BittWare s XUPP3R is a 3/4-length PCIe x16 card based on the

BittWare s XUPP3R is a 3/4-length PCIe x16 card based on the FPGA PLATFORMS Board Platforms Custom Solutions Technology Partners Integrated Platforms XUPP3R Xilinx UltraScale+ 3/4-Length PCIe Board with Quad QSFP and 512 GBytes DDR4 Xilinx Virtex UltraScale+ VU7P/VU9P/VU11P

More information

High-Tech-Marketing. Selecting an FPGA. By Paul Dillien

High-Tech-Marketing. Selecting an FPGA. By Paul Dillien High-Tech-Marketing Selecting an FPGA By Paul Dillien The Market In 2011 the total PLD market was $4.97B The FPGA portion was worth $4.1B 2 FPGA Applications The dominant applications have always been

More information

VISUALIZING THE PACKAGING ROADMAP

VISUALIZING THE PACKAGING ROADMAP IEEE SCV EPS Chapter Meeting 3/13/2019 VISUALIZING THE PACKAGING ROADMAP IVOR BARBER CORPORATE VICE PRESIDENT, PACKAGING AMD IEEE EPS Lunchtime Presentation March 2019 1 2 2 www.cpmt.org/scv 3/27/2019

More information

Power Matters. TM. Why Embedded Die? Piers Tremlett Microsemi 22/9/ Microsemi Corporation. Company Proprietary 1

Power Matters. TM. Why Embedded Die? Piers Tremlett Microsemi 22/9/ Microsemi Corporation. Company Proprietary 1 Power Matters. TM Why Embedded Die? Piers Tremlett Microsemi 22/9/16 1 Introduction This presentation: Outlines our journey to make miniaturised SiP modules Compares : Embedded Die Technology (EDT) With

More information

Silicon Labs. Timing Solutions for Xilinx FPGAs. Timing Simplified

Silicon Labs. Timing Solutions for Xilinx FPGAs. Timing Simplified Silicon Labs Timing Solutions for Xilinx FPGAs Timing Simplified Silicon Labs offers a broad portfolio of frequency flexible timing products that enable hardware designers to simplify clock generation,

More information

3-D Package Integration Enabling Technologies

3-D Package Integration Enabling Technologies 3-D Package Integration Enabling Technologies Nanium - Semi Networking Day David Clark - Choon Heung Lee - Ron Huemoeller June 27th, 2013 Enabling a Microelectronic World Mobile Communications Driving

More information

Pushing Performance and Integration with the UltraScale+ Portfolio

Pushing Performance and Integration with the UltraScale+ Portfolio White Paper: UltraScale+ Portfolio WP471 (v1.0) November 24, 2015 Introducing the UltraScale+ Portfolio Pushing Performance and Integration with the UltraScale+ Portfolio By: Nick Mehta The Xilinx UltraScale+

More information

Intel: Driving the Future of IT Technologies. Kevin C. Kahn Senior Fellow, Intel Labs Intel Corporation

Intel: Driving the Future of IT Technologies. Kevin C. Kahn Senior Fellow, Intel Labs Intel Corporation Research @ Intel: Driving the Future of IT Technologies Kevin C. Kahn Senior Fellow, Intel Labs Intel Corporation kp Intel Labs Mission To fuel Intel s growth, we deliver breakthrough technologies that

More information

Extending the Power of FPGAs to Software Developers:

Extending the Power of FPGAs to Software Developers: Extending the Power of FPGAs to Software Developers: The Journey has Begun Salil Raje Xilinx Corporate Vice President Software and IP Products Group Page 1 Agenda The Evolution of FPGAs and FPGA Programming

More information

The Foundry-Packaging Partnership. Enabling Future Performance. Jon A. Casey. IBM Systems and Technology Group

The Foundry-Packaging Partnership. Enabling Future Performance. Jon A. Casey. IBM Systems and Technology Group The Foundry-Packaging Partnership Enabling Future Performance Jon A. Casey IBM Fellow IBM Systems and Technology Group 5/30/2013 2012 IBM Corporation Data growth will drive the new IT model Dimensions

More information

2.5D FPGA-HBM Integration Challenges

2.5D FPGA-HBM Integration Challenges 2.5D FPGA-HBM Integration Challenges Jaspreet Gandhi, Boon Ang, Tom Lee, Henley Liu, Myongseob Kim, Ho Hyung Lee, Gamal Refai-Ahmed, Hong Shi, Suresh Ramalingam Xilinx Inc., San Jose CA Page 1 Presentation

More information

WaferBoard Rapid Prototyping

WaferBoard Rapid Prototyping WaferBoard Rapid Prototyping WaferBoard (cover not shown) 1. Select components that are packaged in ball grid array, QFP, TSOP, etc. 2. Place the packaged components FPGAs, ASICs, processors, memories,

More information

UltraScale Architecture: Highest Device Utilization, Performance, and Scalability

UltraScale Architecture: Highest Device Utilization, Performance, and Scalability White Paper: UltraScale FPGAs WP455 (v1.2) October 29, 2015 UltraScale Architecture: Highest Device Utilization, Performance, and Scalability By: Nick Mehta High-performance architecture and extensive

More information

November 11, 2009 Chang Kim ( 김창식 )

November 11, 2009 Chang Kim ( 김창식 ) Test Cost Challenges November 11, 2009 Chang Kim ( 김창식 ) 1 2 Where we are!!! Number of Die per wafer exponentially increasing!! Bigger Wafer Diameter 150mm 200mm 300mm 450mm 2000 2005 2010 2015 1985 1990

More information

Leveraging the Intel HyperFlex FPGA Architecture in Intel Stratix 10 Devices to Achieve Maximum Power Reduction

Leveraging the Intel HyperFlex FPGA Architecture in Intel Stratix 10 Devices to Achieve Maximum Power Reduction white paper FPGA Leveraging the Intel HyperFlex FPGA Architecture in Intel Stratix 1 s to Achieve Maximum Reduction devices leverage the innovative Intel HyperFlex FPGA architecture to achieve power savings

More information

COSMOS Architecture and Key Technologies. June 1 st, 2018 COSMOS Team

COSMOS Architecture and Key Technologies. June 1 st, 2018 COSMOS Team COSMOS Architecture and Key Technologies June 1 st, 2018 COSMOS Team COSMOS: System Architecture (2) System design based on three levels of SDR radio node (S,M,L) with M,L connected via fiber to optical

More information

Welcome. Altera Technology Roadshow 2013

Welcome. Altera Technology Roadshow 2013 Welcome Altera Technology Roadshow 2013 Altera at a Glance Founded in Silicon Valley, California in 1983 Industry s first reprogrammable logic semiconductors $1.78 billion in 2012 sales Over 2,900 employees

More information

Field Programmable Gate Array (FPGA) Devices

Field Programmable Gate Array (FPGA) Devices Field Programmable Gate Array (FPGA) Devices 1 Contents Altera FPGAs and CPLDs CPLDs FPGAs with embedded processors ACEX FPGAs Cyclone I,II FPGAs APEX FPGAs Stratix FPGAs Stratix II,III FPGAs Xilinx FPGAs

More information

Maximizing heterogeneous system performance with ARM interconnect and CCIX

Maximizing heterogeneous system performance with ARM interconnect and CCIX Maximizing heterogeneous system performance with ARM interconnect and CCIX Neil Parris, Director of product marketing Systems and software group, ARM Teratec June 2017 Intelligent flexible cloud to enable

More information

Innovative DSPLL and MultiSynth Clock Architecture Enables High-Density 10/40/100G Line Card Designs

Innovative DSPLL and MultiSynth Clock Architecture Enables High-Density 10/40/100G Line Card Designs Innovative and MultiSynth Clock Architecture Enables High-Density 10/40/100G Line Card Designs Introduction The insatiable demand for bandwidth to support applications such as video streaming and cloud

More information

The Ascendance of Advanced Packaging: The Future is Now. Byong-Jin Kim I Sr. Director and RD Department Manager, Amkor Technology Malaysia.

The Ascendance of Advanced Packaging: The Future is Now. Byong-Jin Kim I Sr. Director and RD Department Manager, Amkor Technology Malaysia. The Ascendance of Advanced Packaging: The Future is Now Byong-Jin Kim I Sr. Director and RD Department Manager, Amkor Technology Malaysia. Market Dynamics Market Trends Package Opportunities Summary Economics

More information

A Closer Look at the Epiphany IV 28nm 64 core Coprocessor. Andreas Olofsson PEGPUM 2013

A Closer Look at the Epiphany IV 28nm 64 core Coprocessor. Andreas Olofsson PEGPUM 2013 A Closer Look at the Epiphany IV 28nm 64 core Coprocessor Andreas Olofsson PEGPUM 2013 1 Adapteva Achieves 3 World Firsts 1. First processor company to reach 50 GFLOPS/W 3. First semiconductor company

More information

Advanced Packaging For Mobile and Growth Products

Advanced Packaging For Mobile and Growth Products Advanced Packaging For Mobile and Growth Products Steve Anderson, Senior Director Product and Technology Marketing, STATS ChipPAC Growing Needs for Silicon & Package Integration Packaging Trend Implication

More information

Virtex-II Architecture. Virtex II technical, Design Solutions. Active Interconnect Technology (continued)

Virtex-II Architecture. Virtex II technical, Design Solutions. Active Interconnect Technology (continued) Virtex-II Architecture SONET / SDH Virtex II technical, Design Solutions PCI-X PCI DCM Distri RAM 18Kb BRAM Multiplier LVDS FIFO Shift Registers BLVDS SDRAM QDR SRAM Backplane Rev 4 March 4th. 2002 J-L

More information

Hot Chips 2017 Xilinx 16nm Datacenter Device Family with In-Package HBM and CCIX Interconnect Gaurav Singh Sagheer Ahmad, Ralph Wittig, Millind

Hot Chips 2017 Xilinx 16nm Datacenter Device Family with In-Package HBM and CCIX Interconnect Gaurav Singh Sagheer Ahmad, Ralph Wittig, Millind Hot Chips 2017 Xilinx 16nm Datacenter Device Family with In-Package HBM and Interconnect Gaurav Singh Sagheer Ahmad, Ralph Wittig, Millind Mittal, Ygal Arbel, Arun VR, Suresh Ramalingam, Kiran Puranik,

More information

IDT for FPGAs CLOCKS AND TIMING INTERFACE AND CONNECTIVITY MEMORY AND LOGIC POWER MANAGEMENT RF PRODUCTS

IDT for FPGAs CLOCKS AND TIMING INTERFACE AND CONNECTIVITY MEMORY AND LOGIC POWER MANAGEMENT RF PRODUCTS IDT for FPGAs CLOCKS AND TIMING INTERFACE AND CONNECTIVITY MEMORY AND LOGIC POWER MANAGEMENT RF PRODUCTS IDT develops a broad range of low-power, high-performance mixed-signal semiconductor solutions that

More information

Additional Slides for Lecture 17. EE 271 Lecture 17

Additional Slides for Lecture 17. EE 271 Lecture 17 Additional Slides for Lecture 17 Advantages/Disadvantages of Wire Bonding Pros Cost: cheapest packages use wire bonding Allows ready access to front side of die for probing Cons Relatively high inductance

More information

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER 3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER CODES+ISSS: Special session on memory controllers Taipei, October 10 th 2011 Denis Dutoit, Fabien Clermidy, Pascal Vivet {denis.dutoit@cea.fr}

More information

Company Overview March 12, Company Overview. Tuesday, October 03, 2017

Company Overview March 12, Company Overview. Tuesday, October 03, 2017 Company Overview Tuesday, October 03, 2017 HISTORY 1987 2001 2008 2016 Company started to design and manufacture low-cost, highperformance IC packages. Focus on using advanced organic substrates to reduce

More information

Ettus Research Update

Ettus Research Update Ettus Research Update Matt Ettus Ettus Research GRCon13 Outline 1 Introduction 2 Recent New Products 3 Third Generation Introduction Who am I? Core GNU Radio contributor since 2001 Designed

More information

Japanese two Samurai semiconductor ventures succeeded in near 3D-IC but failed the business, why? and what's left?

Japanese two Samurai semiconductor ventures succeeded in near 3D-IC but failed the business, why? and what's left? Japanese two Samurai semiconductor ventures succeeded in near 3D-IC but failed the business, why? and what's left? Liquid Design Systems, Inc CEO Naoya Tohyama Overview of this presentation Those slides

More information

The Fujitsu ASIC Platform:

The Fujitsu ASIC Platform: : Combining Engineering Expertise with Best-in-Class Tools and Process Technology to Deliver Cost-Efficient Custom Silicon TECHNOLOGY BACKGROUNDER Introduction Advanced ASIC (Application Specific Integrated

More information

Signal Conversion in a Modular Open Standard Form Factor. CASPER Workshop August 2017 Saeed Karamooz, VadaTech

Signal Conversion in a Modular Open Standard Form Factor. CASPER Workshop August 2017 Saeed Karamooz, VadaTech Signal Conversion in a Modular Open Standard Form Factor CASPER Workshop August 2017 Saeed Karamooz, VadaTech At VadaTech we are technology leaders First-to-market silicon Continuous innovation Open systems

More information

Chip-Package-Board Co-Design / Co-Verification Technology for DDR3 1.6G in Consumer Products

Chip-Package-Board Co-Design / Co-Verification Technology for DDR3 1.6G in Consumer Products Chip-Package-Board Co-Design / Co-Verification Technology for DDR3 1.6G in Consumer Products Ji Zheng Director, Chip Package System Apache Design Solutions 2011 ASP-DAC Designer s Forum January 27, 2011

More information

Samsung emcp. WLI DDP Package. Samsung Multi-Chip Packages can help reduce the time to market for handheld devices BROCHURE

Samsung emcp. WLI DDP Package. Samsung Multi-Chip Packages can help reduce the time to market for handheld devices BROCHURE Samsung emcp Samsung Multi-Chip Packages can help reduce the time to market for handheld devices WLI DDP Package Deliver innovative portable devices more quickly. Offer higher performance for a rapidly

More information

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd 2 Agenda TSMC IP Ecosystem DDR Interfaces for SoCs Summary 3 TSMC Highlights Founded in 1987 The world's first dedicated semiconductor foundry

More information

Technology Roadmap 2002

Technology Roadmap 2002 2002 Technology Roadmap Agenda Investing in Our Future Advanced Process Technology Rising Costs of ASIC Development Core Technology Improvements Product Family Roadmaps Development Tools Programmable Systems

More information

Burn-in & Test Socket Workshop

Burn-in & Test Socket Workshop Burn-in & Test Socket Workshop IEEE March 4-7, 2001 Hilton Mesa Pavilion Hotel Mesa, Arizona IEEE COMPUTER SOCIETY Sponsored By The IEEE Computer Society Test Technology Technical Council COPYRIGHT NOTICE

More information

40Gbps+ Full Line Rate, Programmable Network Accelerators for Low Latency Applications SAAHPC 19 th July 2011

40Gbps+ Full Line Rate, Programmable Network Accelerators for Low Latency Applications SAAHPC 19 th July 2011 40Gbps+ Full Line Rate, Programmable Network Accelerators for Low Latency Applications SAAHPC 19 th July 2011 Allan Cantle President & Founder www.nallatech.com Company Overview ISI + Nallatech + Innovative

More information

Server-Grade Performance Computer-on-Modules:

Server-Grade Performance Computer-on-Modules: June, 2018 Version 0.x Server-Grade Performance Computer-on-Modules: COM Express Based on Intel Xeon Solution June, 2018 Version 0.x Table of Contents Introduction: Market Overview and Challenges... 1

More information

Five Emerging DRAM Interfaces You Should Know for Your Next Design

Five Emerging DRAM Interfaces You Should Know for Your Next Design Five Emerging DRAM Interfaces You Should Know for Your Next Design By Gopal Raghavan, Cadence Design Systems Producing DRAM chips in commodity volumes and prices to meet the demands of the mobile market

More information

Zynq Ultrascale+ Architecture

Zynq Ultrascale+ Architecture Zynq Ultrascale+ Architecture Stephanie Soldavini and Andrew Ramsey CMPE-550 Dec 2017 Soldavini, Ramsey (CMPE-550) Zynq Ultrascale+ Architecture Dec 2017 1 / 17 Agenda Heterogeneous Computing Zynq Ultrascale+

More information

Technology Platform Segmentation

Technology Platform Segmentation HOW TECHNOLOGY R&D LEADERSHIP BRINGS A COMPETITIVE ADVANTAGE FOR MULTIMEDIA CONVERGENCE Technology Platform Segmentation HP LP 2 1 Technology Platform KPIs Performance Design simplicity Power leakage Cost

More information

Enabling Technology for the Cloud and AI One Size Fits All?

Enabling Technology for the Cloud and AI One Size Fits All? Enabling Technology for the Cloud and AI One Size Fits All? Tim Horel Collaborate. Differentiate. Win. DIRECTOR, FIELD APPLICATIONS The Growing Cloud Global IP Traffic Growth 40B+ devices with intelligence

More information

Altera SDK for OpenCL

Altera SDK for OpenCL Altera SDK for OpenCL A novel SDK that opens up the world of FPGAs to today s developers Altera Technology Roadshow 2013 Today s News Altera today announces its SDK for OpenCL Altera Joins Khronos Group

More information

Maximising Serial Bandwidth And Signal Integrity In FPGAs

Maximising Serial Bandwidth And Signal Integrity In FPGAs Maximising Serial Bandwidth And Signal Integrity In FPGAs Francesco Contu High Speed IO specialist, EMEA South francescocontu@xilinxcom Agilent High Speed Digital Design & Validation Seminars 2012 Agenda

More information

MARKET PERSPECTIVE: SEMICONDUCTOR TREND OF 2.5D/3D IC WITH OPTICAL INTERFACES PHILIPPE ABSIL, IMEC

MARKET PERSPECTIVE: SEMICONDUCTOR TREND OF 2.5D/3D IC WITH OPTICAL INTERFACES PHILIPPE ABSIL, IMEC MARKET PERSPECTIVE: SEMICONDUCTOR TREND OF 2.5D/3D IC WITH OPTICAL INTERFACES PHILIPPE ABSIL, IMEC OUTLINE Market Trends & Technology Needs Silicon Photonics Technology Remaining Key Challenges Conclusion

More information

Hardware Design with VHDL PLDs IV ECE 443

Hardware Design with VHDL PLDs IV ECE 443 Embedded Processor Cores (Hard and Soft) Electronic design can be realized in hardware (logic gates/registers) or software (instructions executed on a microprocessor). The trade-off is determined by how

More information

Differentiation in Flexible Data Center Interconnect

Differentiation in Flexible Data Center Interconnect Differentiation in Flexible Data Center Interconnect Industry Leadership Momentum Founded 1984 20,000 customers $238B FY15 revenue 3,500 employees world wide >55% Market segment share 3500+ Patents 60

More information

Virtex 6 FPGA Broadcast Connectivity Kit FAQ

Virtex 6 FPGA Broadcast Connectivity Kit FAQ Getting Started Virtex 6 FPGA Broadcast Connectivity Kit FAQ Q: Where can I purchase a kit? A: Once the order entry is open, you can purchase your Virtex 6 FPGA Broadcast Connectivity kit online or contact

More information

Qsys and IP Core Integration

Qsys and IP Core Integration Qsys and IP Core Integration Stephen A. Edwards (after David Lariviere) Columbia University Spring 2016 IP Cores Altera s IP Core Integration Tools Connecting IP Cores IP Cores Cyclone V SoC: A Mix of

More information

New Software-Designed Instruments

New Software-Designed Instruments 1 New Software-Designed Instruments Nicholas Haripersad Field Applications Engineer National Instruments South Africa Agenda What Is a Software-Designed Instrument? Why Software-Designed Instrumentation?

More information

TechSearch International, Inc.

TechSearch International, Inc. Silicon Interposers: Ghost of the Past or a New Opportunity? Linda C. Matthew TechSearch International, Inc. www.techsearchinc.com Outline History of Silicon Carriers Thin film on silicon examples Multichip

More information

Vertical Circuits. Small Footprint Stacked Die Package and HVM Supply Chain Readiness. November 10, Marc Robinson Vertical Circuits, Inc

Vertical Circuits. Small Footprint Stacked Die Package and HVM Supply Chain Readiness. November 10, Marc Robinson Vertical Circuits, Inc Small Footprint Stacked Die Package and HVM Supply Chain Readiness Marc Robinson Vertical Circuits, Inc November 10, 2011 Vertical Circuits Building Blocks for 3D Interconnects Infrastructure Readiness

More information

CCIX: a new coherent multichip interconnect for accelerated use cases

CCIX: a new coherent multichip interconnect for accelerated use cases : a new coherent multichip interconnect for accelerated use cases Akira Shimizu Senior Manager, Operator relations Arm 2017 Arm Limited Arm 2017 Interconnects for different scale SoC interconnect. Connectivity

More information

NVMe-IP Introduction for Xilinx Ver1.7E

NVMe-IP Introduction for Xilinx Ver1.7E NVMe-IP Introduction for Xilinx Ver1.7E Direct connection between latest NVMe SSD and FPGA Optimal Solution for Recording Application! Page 1 NVMe SSD Overview Agenda SSD Trends Merit of NVMe SSD for embedded

More information

Status of KT s 5G trial service at PyeongChang Winter Olympic Games

Status of KT s 5G trial service at PyeongChang Winter Olympic Games Status of KT s 5G trial service at PyeongChang Winter Olympic Games Contents 1 Future ICT revolution & 5G 2 Global 5G trend & technology 3 KT s efforts on 5G trial & commercial Future ICT Revolution Big

More information

Peter Alfke, Xilinx, Inc. Hot Chips 20, August Virtex-5 FXT A new FPGA Platform, plus a Look into the Future

Peter Alfke, Xilinx, Inc. Hot Chips 20, August Virtex-5 FXT A new FPGA Platform, plus a Look into the Future Peter Alfke, Xilinx, Inc. Hot Chips 20, August 2008 Virtex-5 FXT A new FPGA Platform, plus a Look into the Future FPGA Evolution Moore s Law: Double density every other year New process technology, smaller

More information

Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs

Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs White Paper Introduction Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs Signal integrity has become a critical issue in the design of high-speed systems. Poor signal integrity can mean

More information

P51: High Performance Networking

P51: High Performance Networking P51: High Performance Networking Lecture 6: Programmable network devices Dr Noa Zilberman noa.zilberman@cl.cam.ac.uk Lent 2017/18 High Throughput Interfaces Performance Limitations So far we discussed

More information

High Performance Memory Opportunities in 2.5D Network Flow Processors

High Performance Memory Opportunities in 2.5D Network Flow Processors High Performance Memory Opportunities in 2.5D Network Flow Processors Jay Seaton, VP Silicon Operations, Netronome Larry Zu, PhD, President, Sarcina Technology LLC August 6, 2013 2013 Netronome 1 Netronome

More information

Emergence of Segment-Specific DDRn Memory Controller and PHY IP Solution. By Eric Esteve (PhD) Analyst. July IPnest.

Emergence of Segment-Specific DDRn Memory Controller and PHY IP Solution. By Eric Esteve (PhD) Analyst. July IPnest. Emergence of Segment-Specific DDRn Memory Controller and PHY IP Solution By Eric Esteve (PhD) Analyst July 2016 IPnest www.ip-nest.com Emergence of Segment-Specific DDRn Memory Controller IP Solution By

More information

Reconfigurable Computing

Reconfigurable Computing Reconfigurable Computing FPGA Architecture Architecture should speak of its time and place, but yearn for timelessness. Frank Gehry Philip Leong (philip.leong@sydney.edu.au) School of Electrical and Information

More information

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Pierre Dautriche Jean-Paul Morin Advanced CMOS and analog. Embedded analog Embedded RF 0.5 um 0.18um 65nm 28nm FDSOI 0.25um 0.13um 45nm 1997

More information

ARCHIVE 2008 COPYRIGHT NOTICE

ARCHIVE 2008 COPYRIGHT NOTICE Keynote Speaker ARCHIVE 2008 Packaging & Assembly in Pursuit of Moore s Law and Beyond Karl Johnson Ph.D. Vice President and Senior Fellow Advanced Packaging Systems Integration Laboratory Freescale Semiconductor

More information