Low-Power Technology for Image-Processing LSIs

Similar documents
CAD Technology of the SX-9

Embedded SRAM Technology for High-End Processors

Inspirium HMI-Studio: Authoring System for Creating HMI Scenarios

Cluster-based approach eases clock tree synthesis

Adaptive Voltage Scaling (AVS) Alex Vainberg October 13, 2010

Packaging Technology for Image-Processing LSI

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017

Next-generation Power Aware CDC Verification What have we learned?

Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology

DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech)

Leakage Mitigation Techniques in Smartphone SoCs

ECE 486/586. Computer Architecture. Lecture # 2

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions

Comprehensive Place-and-Route Platform Olympus-SoC

250nm Technology Based Low Power SRAM Memory

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE.

Three DIMENSIONAL-CHIPS

STUDY OF SRAM AND ITS LOW POWER TECHNIQUES

8D-3. Experiences of Low Power Design Implementation and Verification. Shi-Hao Chen. Jiing-Yuan Lin

DECOUPLING LOGIC BASED SRAM DESIGN FOR POWER REDUCTION IN FUTURE MEMORIES

Unleashing the Power of Embedded DRAM

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process

Power Optimization in FPGA Designs

James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd, 2003

FeRAM Circuit Technology for System on a Chip

Approaches to Green Networks

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function.

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public

Evolution of UPF: Getting Better All the Time

Low Power System-on-Chip Design Chapters 3-4

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN

Design and Implementation of Low Leakage Power SRAM System Using Full Stack Asymmetric SRAM

PowerAware RTL Verification of USB 3.0 IPs by Gayathri SN and Badrinath Ramachandra, L&T Technology Services Limited

Digital IO PAD Overview and Calibration Scheme

Reducing DRAM Latency at Low Cost by Exploiting Heterogeneity. Donghyuk Lee Carnegie Mellon University

Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design

THE latest generation of microprocessors uses a combination

Analysis of 8T SRAM with Read and Write Assist Schemes (UDVS) In 45nm CMOS Technology

Design and Analysis of Ultra Low Power Processors Using Sub/Near-Threshold 3D Stacked ICs

Memory Design I. Semiconductor Memory Classification. Read-Write Memories (RWM) Memory Scaling Trend. Memory Scaling Trend

FPGA Power Management and Modeling Techniques

Case study of Mixed Signal Design Flow

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM

Managing Power and Performance for System-on-Chip Designs using Voltage Islands

Delay Modeling and Static Timing Analysis for MTCMOS Circuits

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation

Low Power and Improved Read Stability Cache Design in 45nm Technology

Designing and Analysis of 8 Bit SRAM Cell with Low Subthreshold Leakage Power

Physical-Aware High Level Synthesis Congestion resolution for the realization of high-density and low-power

Is Power State Table Golden?

Analysis of 8T SRAM Cell Using Leakage Reduction Technique

CHAPTER 1 INTRODUCTION

Low-Power SRAM and ROM Memories

SH-Mobile3: Application Processor for 3G Cellular Phones on a Low-Power SoC Design Platform

Design of Low Power Wide Gates used in Register File and Tag Comparator

Innovative Technologies Realizing Compact PRIMERGY TX120 Server

International Journal of Advanced Research in Electrical, Electronics and Instrumentation Engineering

SYNTHESIS FOR ADVANCED NODES

Power Gate Optimization Method for In-Rush Current and Power Up Time

Synopsys Design Platform

Last Time. Making correct concurrent programs. Maintaining invariants Avoiding deadlocks

This Part-A course discusses techniques that are used to reduce noise problems in the design of large scale integration (LSI) devices.

TINY System Ultra-Low Power Sensor Hub for Always-on Context Features

Reduce Verification Complexity In Low/Multi-Power Designs. Matthew Hogan, Mentor Graphics

Hardware Design with VHDL PLDs IV ECE 443

VLSI Test Technology and Reliability (ET4076)

DESIGN AND IMPLEMENTATION OF 8X8 DRAM MEMORY ARRAY USING 45nm TECHNOLOGY

Low Power SRAM Design with Reduced Read/Write Time

INTRODUCTION. icegate

On-chip Networks Enable the Dark Silicon Advantage. Drew Wingard CTO & Co-founder Sonics, Inc.

A Novel Methodology to Debug Leakage Power Issues in Silicon- A Mobile SoC Ramp Production Case Study

Lecture 14. Advanced Technologies on SRAM. Fundamentals of SRAM State-of-the-Art SRAM Performance FinFET-based SRAM Issues SRAM Alternatives

Performance Analysis and Designing 16 Bit Sram Memory Chip Using XILINX Tool

A Novel Architecture of SRAM Cell Using Single Bit-Line

An FPGA Architecture Supporting Dynamically-Controlled Power Gating

CALCULATION OF POWER CONSUMPTION IN 7 TRANSISTOR SRAM CELL USING CADENCE TOOL

High Performance Memory Read Using Cross-Coupled Pull-up Circuitry

Jae Wook Lee. SIC R&D Lab. LG Electronics

Design of high speed low power Content Addressable Memory (CAM) using parity bit and gated power matchline sensing

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey

VHDL Implementation of High-Performance and Dynamically Configured Multi- Port Cache Memory

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

Prototype of SRAM by Sergey Kononov, et al.

ELE 455/555 Computer System Engineering. Section 1 Review and Foundations Class 3 Technology

Semiconductor Memory Classification. Today. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. CPU Memory Hierarchy.

A 65nm LEVEL-1 CACHE FOR MOBILE APPLICATIONS

Organic Computing DISCLAIMER

A Novel Design of High Speed and Area Efficient De-Multiplexer. using Pass Transistor Logic

Overview of Digital Design with Verilog HDL 1

Performance and Power Solutions for Caches Using 8T SRAM Cells

More Course Information

Frequency and Voltage Scaling Design. Ruixing Yang

On the Decreasing Significance of Large Standard Cells in Technology Mapping

Innovative Power Control for. Performance System LSIs. (Univ. of Electro-Communications) (Tokyo Univ. of Agriculture and Tech.)

TIMA Lab. Research Reports

Low Power SRAM Techniques for Handheld Products

Actel s SX Family of FPGAs: A New Architecture for High-Performance Designs

Transcription:

Low- Technology for Image-Processing LSIs Yoshimi Asada The conventional LSI design assumed power would be supplied uniformly to all parts of an LSI. For a design with multiple supply voltages and a power gating design, the inside of an LSI must be divided into multiple supply regions, and this complicates the LSI design. This paper introduces low-power technology applied to image-processing LSIs of Fujitsu Semiconductor, which designs such complicated LSIs with high reliability. Fujitsu Semiconductor engages in intensive development with CoolAdjust, a set of technologies to reduce power consumption by controlling power supply. Accordingly, this paper mainly focuses on describing technologies for a design that can handle multiple supply voltages and effectively reduce the dynamic power, and a power gating design that can effectively reduce the amount of leakage power. In a power gating design, when the power switch is turned on to supply power to a, a large current called an inrush current runs so as to charge the parasitic capacitance of the. It is known that this inrush current affects the s that are already powered on. This problem has been solved by controlling two types of power switches with a time interval, and this is also presented here. 1. Introduction While the miniaturization of devices has tended to slow down in recent years, microfabrication with a size reduction of about 0.7 times has still been achieved every two years, which is equivalent to doubling the number of devices integrated per unit area of silicon every two years. Operating frequencies are also increasing and the trend is the same not only with LSIs for high-end systems but also with consumer LSIs and image-processing LSIs taken up in this special issue. For image-processing LSIs for mobile devices, in particular, there is a strong demand for reduced power consumption from the perspective of battery life extension and weight reduction. Reducing power consumption is also demanded of image-processing LSIs for stationary devices as well as mobile devices in view of packaging and other implementation costs. Due to consumers and organizations recent increase in environmental awareness, there are also strong needs to reduce power consumption. Against this trend toward greater power consumption caused by higher integration and performance of devices, there is a need to continuously provide solutions for low-power technology in order to meet the demand for lower power consumption from the viewpoint of the environment and restrictions on equipment. Fujitsu Semiconductor is working on reducing power consumption in each of the hierarchical levels of design such as software design, high-level design, architecture design, logic design, design, layout design and device process to reduce the power consumption of SoCs, as shown in Figure 1. It is known that taking measures in a higher-level hierarchy generally produces a greater power reduction effect. Meanwhile, low-power technologies applied in lowerlevel hierarchies are often independent of particular system configurations or designs and applicable to many types of LSIs. Along with this approach to reducing power consumption, we are also working on power estimation CAD, which is a tool for evaluating the approach. Based on the results of LSI power analysis obtained by means of power estimation CAD, issues with power FUJITSU Sci. Tech. J., Vol. 49, No. 1, pp. 117 123 (January 2013) 117

Design level Low-power technology Software design control software High-level design Architecture design Logic design Circuit design Layout design Memory access reduction Optimum architecture selection RTL optimization Clock gating Multi-V th Gate bias Computation reduction Effective use of cache CoolAdjust Variable-VDD (ASV) Variable-V th (ABB) gating Multi-Vdd Technologies for dynamic control of frequency, voltage and threshold Device process Multi-V th Tr Low-k Low voltage transistor High-k metal material Figure 1 Low-power technologies for respective design hierarchies. consumption can be identified. This is so-called visualization of power consumption, and it is used as a means to identify problems from the perspective of power reduction and to take focused measures. This is described in Verification of System LSIs for Image Processing contained in this magazine. This paper presents major low-power technologies applied to image-processing LSIs. 2. consumption trends Figure 2 shows power consumption trends of SoCs including image-processing LSIs. This indicates power consumption with reference to the generation of the 180 nm process rule based on the assumption that 5-mm square LSIs have been realized for the respective generations without applying the low-power design technologies in Figure 1. It shows that, with the 40 nm generation, the dynamic power resulting from operation increases to 6.9 times as much as the 180 nm generation and the leakage power consumed regardless of operation increases to 31 times as much. Reducing the power supply voltage makes an effective contribution to reducing LSI power consumption. Dynamic power is known to be proportional to the square of power supply voltage and successfully reducing the power supply voltage has a significant power reduction effect. Up to the 130 nm generation, power supply voltage was smoothly decreasing, which has made a great contribution to power consumption reduction. After the 130 nm generation, however, the typical power supply voltage has bottomed out at around 1 V mainly due to the restriction of low-voltage operation of SRAM. On the contrary, dynamic power increase has occurred because of higher integration and operation frequencies. In addition, increase of leakage power resulting from increased integration and process variation is becoming serious. Fujitsu Semiconductor has systematized a series of low-power design technologies for reducing power by controlling power supply as CoolAdjust and is committed to its development. For image-processing LSIs, a design that can handle multiple supply voltages and power gating design of CoolAdjust are applied, and 118 FUJITSU Sci. Tech. J., Vol. 49, No. 1 (January 2013)

Dynamic power (W) 12 10 8 6 4 2 0 Approx. 6.9 Operating frequency (MHz) 400 300 200 100 0 0.12 2 Leakage power (W) 0.10 0.08 0.06 0.04 0.02 Approx. 31 supply voltage (V) 1.8 1.6 1.4 1.2 0.00 1 Figure 2 Trends in power consumption of system LSIs. these are main subjects of the following sections. High power supply voltage Lower power supply voltage 3. Technology for reduction of dynamic power To reduce the dynamic power of image-processing LSIs, we have applied techniques to reduce power consumption such as optimization, which reduces power resulting from unnecessary operation, and incorporating a design that can handle multiple supply voltages. For optimization, results of the power estimation CAD mentioned earlier are used to identify portions that consume a large amount of power as compared with the target power specification and replace them with algorithms or systems that offer more power efficiency. In addition, s are modified for fine-tuned stop control by detecting failure to stop operation. With the technique of incorporating a design that can handle multiple supply voltages, s of an LSI that need to operate at high speed are operated at high voltage, and low-speed s are operated at low voltage. The signal lines that connect s with High-speed (No margin in terms of timing) LS LS: level shifter Low-speed Figure 3 Design for multiple supply voltages. Vss different voltages need to have level-shifter s, which convert the signal voltage level, inserted as shown in Figure 3. The following describes examples of a design that can handle multiple supply voltages in an image-processing LSI. Circuits that expect external interrupt signals or those in charge of clock operation FUJITSU Sci. Tech. J., Vol. 49, No. 1 (January 2013) 119

need to be constantly in operation, although not at high speed. Accordingly, for battery-driven devices, power consumption must be reduced to a very low level. For these s, which do not use SRAM, the power supply voltage can be lowered to nearly half that of ordinary s, and this allows the dynamic power to be reduced. In order to reduce the leakage power as well, low-leakage transistors with a long gate length are used to achieve low power consumption in combination. 4. Technology for reduction of leakage power As technology for reducing the leakage power of image-processing LSIs, we have applied techniques to reduce power consumption such as multiple threshold design and power gating design. In multiple-threshold design, high-speed transistors with a low threshold but large leakage power are used only for the portion of a that needs to be operated at high speed. And, for most of the remaining portion of the not required to operate at a high speed, low-speed transistors with a high threshold and small leakage power are used. By limiting the use of transistors with large leakage power to a small number, high-speed operation can be achieved while the leakage power of the is kept low. Figure 4 shows the concept of the power gating design. This power gating design is intended for turning off the power switch transistor inserted serially between the and power line to stop power supply to the power on/off while the is not used. It has an effect of significantly reducing leakage power of the while the power switch is cut off by two to three orders of magnitude as compared with the powered-on state. The state of a signal output from the on/off is indefinite when the power is turned off and input of this signal to the constant power on may lead to faulty operation of the constant power on. To avoid this situation, a called an isolator intended for preventing propagation of indefinite signals from the power on/off must be inserted. The following describes the characteristics required of the power switch. First, an unwanted change in the power supply voltage fed to the resulting from the resistance of the power switch must be suppressed as much as possible. In addition, the must become operable as soon as possible after the power switch is turned on. Another point is that, if the power switch is inadvertently turned on, a large current called an inrush current flows into the power line of the LSI for charging the capacitance of the power on/off. This may cause an unwanted change in the power supply voltage of the LSI and adversely affect operation of the constant power on and other s, which must be avoided. The configuration shown in Figure 5 (a) was used for the conventional power switches. To avoid the problem of inrush currents, the voltage of signal g for controlling the power switch transistor of a size and capacity large enough to supply the operation current of the was slowly changed for preventing a large switch Vdd switch Vdd on/off ISO Constant power on on/off ISO Constant power on Vss Vss ISO: isolator (a) Example with power switch provided outside of LSI (b) Example with power switch provided inside of LSI Figure 4 Concept of power gating design. 120 FUJITSU Sci. Tech. J., Vol. 49, No. 1 (January 2013)

Vdd power line Vdd power line g VVdd Inrush current Circuit On/off power line wg sg VVdd Inrush current reduced Circuit On/off power line Vss power line Vss power line (a) Conventional power switch control (b) control by weak-strong system Inrush current bypassing Vdd power line Vdd power line wg sg VVdd Inrush current Circuit On/off power line Vss power line (c) System with inrush current bypassing Vdd power line added Figure 5 System for power switch control. current from flowing into the power switch, thereby suppressing unwanted changes in the power supply voltage that were generated in the Vdd power line. In this case, the operation cannot start until the voltage of signal g has completely finished transition. As a solution to the challenge of reducing the time before the becomes ready for use while avoiding an adverse effect on other s caused by inrush currents, configurations as shown in Figure 5 (b) and Figure 5 (c) can be used. In Figure 5 (b), a power switch of a small size capable of charging the capacitance (weak switch controlled by signal wg) is turned on first. The weak switch is adjusted to a size just enough for charging the on/off power line of the. After the potential of the on/off power line has been sufficiently increased by the weak switch, a power switch capable of feeding the operation current of the (strong switch controlled by signal sg) is controlled. This allows mutually independent optimization of the characteristics of the current to charge the power line of the and power supply current to be fed into the during operation. As a result, this suppresses any voltage variation in the power switch and reduces the restoration time to a few microseconds, while avoiding faulty operation caused by an inrush current. As a method to further reduce an adverse effect due to an inrush current, we have devised a system as shown in Figure 5 (c). 1) To deal with the inrush current generated when the on/off power line is charged by the weak switch, it is run through the dedicated inrushcurrent-bypassing Vdd power line rather than into the Vdd power line, which minimizes variation in the power supply voltage generated in the Vdd power line. VVdd is a virtual power rail and it serves as a power line when the power switch is ON. 5. Design and verification technology for multiple power supplies Of various low-power design technologies for image-processing LSIs, we have described so far those belonging to CoolAdjust with the focus on a design that can handle multiple supply voltages and power gating design. To apply these low-power design technologies, we must divide an LSI into multiple power supply regions and insert a level shifter or isolator for the signal connecting between the regions. In addition, a power gating design requires a power switch to be inserted FUJITSU Sci. Tech. J., Vol. 49, No. 1 (January 2013) 121

between the power supply and. There have been examples of an LSI design that can handle multiple supply regions in the past. However, this design was carried out without awareness of power supply specification in the register transfer level (RTL) design, logic verification and logic synthesis phases. And, in the placement and routing phase and layout phase, which are final physical implementation phases of an LSI, multiple supply voltages, power gating or other power supply specifications were applied to design. In this way, a power supply specification was not taken into account in the RTL design phase, which caused problems. They include, for example, designing a that uses a signal from a in the powered-off state and, for a after restoration from the powered-off state, designing without assuming the loss of the internal state before power off. In addition, different power supply specifications were provided for different design tools, which led to inconsistent designs and tended to cause problems in design quality. Fujitsu Semiconductor participated early on in the standardization of Common Format (CPF), which is an industry standard format for LSI power supply information description, and introduced it into the LSI design flow. CPF is a language for describing power supply and specifications of low-power LSIs owned and managed by the Low Coalition under the Silicon Integration Initiative (Si2), a standardization consortium for LSI design technologies. Once a specification has been described based on CPF in specification design and RTL design, the original CPF can be used as the master to input to design tools in the design phase including logic synthesis, placement and routing, simulation, verification and testing. As a result, delivery of power supply information, which was traditionally obscure in the respective design phase, can be clarified, allowing for a highly reliable design. In addition, simulation of the powered-off state of a, which was impossible with the conventional logic simulation, becomes possible and the quality of logic verification of LSIs with multiple power supply regions can be improved. Fujitsu Semiconductor established an LSI design flow integrating CPF for the first time in the world and has applied it to various LSI products. As of July 2012, it has been applied to more than 50 types of LSI design. We are elaborating the LSI design flow to also accommodate design using Unified Format (UPF), a format of description of power supply information standardized by the Institute of Electrical and Electronic Engineers (IEEE) independently of CPF. 6. Future prospects As described in the section on power consumption trends, low-voltage operation of SRAM in particular is becoming increasingly difficult along with the progress of process miniaturization. This is because SRAM transistors are the smallest in an LSI and susceptible to process variation, which make them prone to reduced operating margins. To address this issue, new transistor technology capable of reducing transistor variation 2) and technology for ensuring operating margins of SRAM cells can be adopted to allow operation of SRAM at a low voltage. As a result, it becomes easier to reduce power consumption by having low-voltage operation, and greater effects of the design that can handle multiple supply voltages presented in this paper can be expected. There is also a technology called dynamic voltage frequency scaling (DVFS), in which the operating frequency and power supply voltage are controlled according to the processing capability required in order to achieve power reduction, and another technology called adaptive supply voltage (ASV) for chips with the central value of process variation on the fast side, which reduces power consumption by control for reducing the power supply voltage according to the variation. The effect of these technologies intended for power reduction by means of controlling the power supply voltage can be increased as well. 7. Conclusion This paper has described recent power consumption trends and presented low-power technologies applied to image-processing LSIs with the focus on a design that can handle multiple supply voltages and power gating design. These power techniques for reducing power consumption require the inside of an LSI to be divided into multiple power supply regions and a level shifter, isolator, power switch, and such like to be implemented. To carry out such design with high reliability, a design technique using CPF has been introduced, and this was also explained in this paper. In the future, approaches to reducing process variation and 122 FUJITSU Sci. Tech. J., Vol. 49, No. 1 (January 2013)

ensuring SRAM operating margins to reduce the operating voltage are important. As the size of a transistor on a chip is decreased, it becomes difficult to control its size variation, and size variation causes a variation in the electrical characteristics of the device (or MOSFET or transistor). But a very effective way to reduce power supply consumption is to reduce the operating voltage, and it is strongly desired. In the future, it will be important to take approaches to reducing size variation and ensuring SRAM operating margins to reduce the operating voltage. References 1) K. Kawasaki et al.: A Sub-μs Wake-up Time Gating Technique with Bypass Line for Rush Current Support. Proceedings of 2008 IEEE Symposium on VLSI Circuits, pp. 146 147. 2) K. Fujita et al.: Advanced Channel Engineering Achieving Aggressive Reduction of VT Variation for Ultra-Low- Applications. IEDM Technical Digests, 2011, 32.3.1 32.3.4. Yoshimi Asada Fujitsu Semiconductor Ltd. Mr. Asada is currently engaged in development of low-power technology. FUJITSU Sci. Tech. J., Vol. 49, No. 1 (January 2013) 123