Architecture multi-asip pour turbo décodeur multi-standard. Amer Baghdadi.

Size: px
Start display at page:

Download "Architecture multi-asip pour turbo décodeur multi-standard. Amer Baghdadi."

Transcription

1 Architecture multi-asip pour turbo décodeur multi-standard Amer Baghdadi Télécom Bretagne Technopôle Brest-Iroise - CS Brest Cedex 3 - FRANCE GDR-ISIS, Paris. 4 janvier, Outline Introduction Forward error correction and flexibility requirements Convolution turbo codes Turbo decoding iterative principle BCJR SISO Algorithm Parallelism levels classification ASIP design for flexible turbo decoding Parameters of flexibility Overall ASIP Architecture Basic Computational Blocs Instruction Set Sample illustration program NoC-based multi-asip turbo decoding Multi-ASIP platform Adaptive NoC architecture Prototyping and on-board validation Conclusion and perspectives page A.Baghdadi, Télécom Bretagne Journée GDR-ISIS, Paris, 4 Jan

2 Flexibility requirements in standards for forward error correction codes Standard Codes Rates States Bloc-size Throughput EDGE CC 6/7, / bps UMTS CC btc /, /3 / up to 3 bps up to Mbps LTE CC btc /3 / up to Mbps HSDPA btc / - 3/ up to 4.4 Mbps CDMA CC btc / - /6 / - / up to 38 bps up to Mbps IEEE8. (WLAN) CC CC LDPC / - 3/4 /3 / - 5/ up to Mbps - up to 45Mbps IEEE8.6 (WiMax) CC dbtc LDPC / - 7/8 /3,/,/3,3/4, 5/6 / - 3/ up to 4 up to 48 up to 34 - up to 75 Mbps up to 75 Mbps Inmarsat btc / 6 up to 68 up to 64 bps DVB-S LDPC /4-9/ - 6,64 up to 55 Mbps CC: Conv olutional Code btc: binary Turbo Code dbtc: duo-binary Turbo Code LDPC: Low-density parity -chec code page 3 A.Baghdadi, Télécom Bretagne Journée GDR-ISIS, Paris, 4 Jan Application Convolutional turbo codes Code parameters Number of States Input bits (M-binary) d i, s i, s i, s i,3 c i, c i, R=/, 8 state, binary Code rate Puncturing Polynomials R=/3, 8 states, duo-binary d i, d i, s i, s i, s i,3 c i, c i, Parallel concatenation of recursive systematic convolutional codes Turbo principle in receiver Source Interleaver Encoder Encoder systematic redundancy redundancy c i,3 Modulator page 4 A.Baghdadi, Télécom Bretagne Journée GDR-ISIS, Paris, 4 Jan

3 Convolutional turbo decoding Iterative decoding of concatenated convolutional codes SISO module (Soft Input Soft Output) Exchange of probabilistic information between decoders MAP algorithm: BCJR or Forward Bacward red sys Component Decoder natural order red Π Π Π - Component Decoder interleaved order Output (Hard decision) Improve convergence speed using parallelism page 5 A.Baghdadi, Télécom Bretagne Journée GDR-ISIS, Paris, 4 Jan BCJR SISO algorithm max-log-map computations SI BCJR-SISO. MAP γ α extrinsic SO Bit - 3 α Bit Bit + () 3 Trellis of a 4-states binary code γ(,) + () 3 Bit + 3 α ( ) max s = ( α ( s ) + ( s γ, s) ) ( ) max s = ( + ( s ) + γ + ( s, s )) S' max ex L ( d i ) = ( s', s)/ d( s', s) i ex ( α ( s ) + γ ( s, s) + ( s) ) page 6 A.Baghdadi, Télécom Bretagne Journée GDR-ISIS, Paris, 4 Jan S ' 3

4 BCJR Metric Level Parallelism (level ) Parallelism of trellis transitions SI BCJR-SISO. MAP α Parallelism of BCJR computations (recursions, extrinsic information) Bloc N α γ extrinsic SO extrinsic Bloc N α Bit Bit + α() 3 Trellis of a 4-states binary code extrinsic γ(,) () 3 T time T/ time page 7 A.Baghdadi, Télécom Bretagne Journée GDR-ISIS, Paris, 4 Jan BCJR-SISO Decoder Level Parallelism (level ) Component-decoder parallelism Classical (serial) decoding Shuffled decoding Component decoder Component decoder Sub-bloc parallelism Bloc N Bloc BCJR-SISO N?α? N d?α??α? Sub-bloc BCJR-SISO Sub-bloc BCJR-SISO Sub-bloc BCJR-SISO Sub-bloc BCJR-SISO page 8 A.Baghdadi, Télécom Bretagne Journée GDR-ISIS, Paris, 4 Jan 4

5 Turbo-decoder Level Parallelism (level 3) Iteration parallelism Frame i Turbo Decoder Frame i- Turbo Decoder Frame i- Turbo Decoder Iteration Iteration Iteration Frame i Turbo Decoder Frame parallelism Iteration Frame i+ Turbo Decoder Iteration page 9 A.Baghdadi, Télécom Bretagne Journée GDR-ISIS, Paris, 4 Jan Classification Parallelism technique classification Level Parallelism Trellis transitions Restricted Optimal BCJR metric BCJR computations BCJR-SISO decoder Sub-blocs Component decoders Parallelism degree Area overhead Turbo-decoder Iterations Frames Unlimited Unaffordable page A.Baghdadi, Télécom Bretagne Journée GDR-ISIS, Paris, 4 Jan 5

6 Outline Introduction Flexibility requirements in forward error correction Convolution turbo codes Turbo decoding iterative principle BCJR SISO Algorithm Parallelism levels classification ASIP design for flexible turbo decoding Parameters of flexibility Overall ASIP Architecture Basic Computational Blocs Instruction Set Sample illustration program NoC-based multi-asip turbo decoding Multi-ASIP platform Adaptive NoC architecture Prototyping and on-board validation Conclusion page A.Baghdadi, Télécom Bretagne Journée GDR-ISIS, Paris, 4 Jan Flexibility requirements in applications using convolutional turbo codes Application Rate Throughput (Mbps) Code Bloc size (bits) CCSDS (deep space) /6 /,6 binary, 6-state UMTS (mobile 3G) /3 binary, 8-state 4 54 CDMA (mobile 3G) /5 / binary, 8-state LTE (mobile) /3 binary, 8-state DVB-RCS (TV) /3 6/7 duo-binary, 8-state DVB-RCT (TV) /, /4 duo-binary, 8-state Inmarsat (multimedia) /,64 binary, 6-state 68 WiMAX / 5/6 75 duo-binary, 8-state Homeplug AV /,6/ duo-binary, 8-state 8, 88, 46 + Trellis, puncturing, interleaver, concatenation, # of component decoders page A.Baghdadi, Télécom Bretagne Journée GDR-ISIS, Paris, 4 Jan 6

7 Design decisions and ASIP flexibility Flexibility Variants Decisions Concatenation Parallel Target parallelism (level ) 3 transitions BCJR units Polynomial Encoder systematic recursive < 6 binary states or 8 duobinary states ASIP Architecture Interleaver All Rate Puncturing Bloc size >= /7 for simple binary and /3 for duo-binary Patterns of 6 bits < symbol (4 windows) Flexibility DSP and lowperformance processor based solutions ASIP Algorithm Iterative process ctrl. Max-log-MAP Fixed iterations # SF for extrinsic info. Dedicated ASIC-target solutions Log (throughput) page 3 A.Baghdadi, Télécom Bretagne Journée GDR-ISIS, Paris, 4 Jan Complete ASIP Architecture Bloc α extrinsic time SIMD architecture, 7-stage pipeline, dedicated and extensible instruction set (~ 3 insts) Maximum internal parallelism IO: pacet interface to exchange information with other processors Supports all standardized turbo codes (single and double binary) decision decision Input data mem top Input data mem bottom TurbASIP Conf iguration memory Program memory Forward recursion unit A ot Bacward recursion unit B Future metrics memory Control unit Cross memory A B Cross memory B A Extrinsic information Extrinsic information Extrinsic inf o mem top Extrinsic inf o mem bottom Past metrics memory page 4 A.Baghdadi, Télécom Bretagne Journée GDR-ISIS, Paris, 4 Jan 7

8 BCJR recursion unit 6 branch metrics, depending on puncturing Up to 3 transitions per processing matrix 8 Max nodes Column wise: state metrics Row wise: extrinsic information decision PR_A DECISION_A RC_A global ALU x6 x6 RG_A BM generator Processing Matrix x6 RMC_A State RIE_A x4 Extrinsic information memory Extrinsic information state metrics state metrics RADD_A RC_A(j) RG_A Max node max max RT_A(i,j) max RMC_A Adder node RADD_A ou RMC_A RADD_A(i,j) page 5 A.Baghdadi, Télécom Bretagne Journée GDR-ISIS, Paris, 4 Jan Adder Node Forward Adder Node Fw/Bw RG_A γ RT_A(i,j) Basic computation blocs RC_A(j) Metric()/Info() α + γ R ADD_A(i,j) RMC_A α S ' max ( α ( s ) + ( s, s) ) α ( s) = γ RG_A γ RT_A(i,j) RC_A(j) Metric()/Info() RMC_A α R ADD_A(i,j) α + γ ex max ( α ( s ) + γ ( s, s) + ( s) ) ( s', s)/ d( s', s) i ex L ( d i ) = RG_B γ RT_A(i,j) RMC_B page 6 A.Baghdadi, Télécom Bretagne Journée GDR-ISIS, Paris, 4 Jan decision max ( + ) ( s) = ( s ) γ ( s, s + + ) S ' RC_B(j) α Metric()/Info() + γ R ADD_B(i,j) State S S S S3 S4 S5 S6 S7 Config for 8 states db Adder Node Bacward Processing Matrix 8

9 Basic computation blocs (Cont.) 4-Input Max Finder max RADD Reg max RMC Reg / RADD Reg max Row Wise (8 x 4-Input MF) Column Wise (8 x 4-Input MF) Processing Matrix max ( + ) ( s) = ( s ) γ ( s, s + + ) S ' max ( α ( s ) + ( s, s) ) α ( s) = γ S ' RMC Reg page 7 A.Baghdadi, Télécom Bretagne Journée GDR-ISIS, Paris, 4 Jan ex max ( α ( s ) ( s, s) ( s) ) ( s ', s )/ ( s ', s ) i + γ + d ex L ( d i ) = Row Wise (6 x -Input MF) Control, pipeline stages, and memories Write Al pha & Beta Init Memory Read Al pha & Beta Init Memory 6 Config. A&B Memor y FE DEC OPF BM BM EXE ST Program Control Pipeline Registers Inst. Decod. Adr. Gen. Pipeline Registers Operand Fetch Pipeline Registers Gamma Computa tion Extrinsic Scaling Pipeline Registers Gamma Cont. Computa tion Pipeline Registers ACS Units Pipeline Registers Extrinsic Info Computa tion NoC Pacet Cons truction Extrinsic Info. Or Hard dec. Out. Multipl exed Output 8 Ext_enabl e Ext_enabl e decision_enabl e 8 6 Program Memor y Cross M etric Memor y 5 7 Input D ata Top & Bottom Interleavi ng Memor y Extrinsic Input D ata Top & Bottom page 8 A.Baghdadi, Télécom Bretagne Journée GDR-ISIS, Paris, 4 Jan 9

10 ASIP instruction set Registers/Memory Transfer Trellis configuration State metric initialization Windowing support Control ZOL Repeat Operative Map Forward/Bacward recursion State metrics Extrinsic info. Hard decisions Max tree Column Max between Inputs Max between 4 Inputs Row Max between Inputs Max between 4 Inputs page 9 A.Baghdadi, Télécom Bretagne Journée GDR-ISIS, Paris, 4 Jan ASIP: application example double binary 8-states code _loop: _LW: _RW: LD_CONFIG LD_CONFIG LD_CONFIG LD_CONFIG 3 SET_SIZE 48 LD_REC ZOLB _LW,_LW,_RW DATA_LEFT add m max m x4 DATA_RIGHT add m max m NO_LD add i x4 max i max i ST_EXT ST_REC jmp _loop single binary 8-states code _loop: _LW: _RW: LD_CONFIG LD_CONFIG SET_SIZE 4 LD_REC ZOLB _LW,_LW,_RW DATA_LEFT add m x max m DATA_RIGHT add m max m NO_LD add i x max i max i ST_EXT ST_REC jmp _loop ~ 3,5 cycles /symbol page A.Baghdadi, Télécom Bretagne Journée GDR-ISIS, Paris, 4 Jan

11 DATA_LEFT add m max m ASIP: application example (cont.) x4 BM computations and accumulation with old state metrics DECISION_A global ALU RC_A x6 Processing Matrix Extrinsic information state metrics All trellis transitions are processed in parallel RG_A γ RC_A(j) Metric()/Info() x6 RG_A BM generator x6 RMC_A x4 RIE_A state metrics (parallelism level : Parallelism of trellis transitions) RT_A(i,j) RMC_A α α + γ R ADD_A(i,j) PR_A Extrinsic info memory EXE pipeline stage page A.Baghdadi, Télécom Bretagne Journée GDR-ISIS, Paris, 4 Jan DATA_LEFT add m max m ASIP: application example (cont.) x4 Select and update state metrics D EC ISION _A global ALU Extrinsic information x6 Use of 4-input Max function in column wise configuration for each state R C _A Processing Matrix state metrics State metrics are saved in RMC registers x6 R G_A BM generator x6 R MC _A R IE_A x4 state metrics PR_A EXE pipeline stage page A.Baghdadi, Télécom Bretagne Journée GDR-ISIS, Paris, 4 Jan

12 Design and verification flows LISA files ASM file (code + memory contents) LISA level Macro assembler, assembler & liner exe file Simulator & debugger HDL optimization options Processor generator Memory-la yout file exetxt HDL level ASIP HDL files HDL simulation memory files Memory-content files (mmap) Simulator HDL Synthesis results Synthesis FPGA memory IP Memory-content files (coe) mmapcoe FPGA level Users constraint files (ucf) Place&Route Chipscope file (cdc) FPGA Chipscope Pro Core Inserter Chipscope Pro Analyser page 3 A.Baghdadi, Télécom Bretagne Journée GDR-ISIS, Paris, 4 Jan Outline Introduction Flexibility requirements in forward error correction Convolution turbo codes Turbo decoding iterative principle BCJR SISO Algorithm Parallelism levels classification ASIP design for flexible turbo decoding Parameters of flexibility Overall ASIP Architecture Basic Computational Blocs Instruction Set Sample illustration program NoC-based multi-asip turbo decoding Multi-ASIP platform Adaptive NoC architecture Prototyping and on-board validation Conclusion page 4 A.Baghdadi, Télécom Bretagne Journée GDR-ISIS, Paris, 4 Jan

13 High-throughput multi-asip turbo decoder 3 dedicated networs I/O networ futur I/O Interface futur Networ interface: interleaver Low latency for the extrinsic information networ Multi-stage interconnecti on networs M canal M canal ASIP futur Mémoires passé ASIP Mémoires passé M info extr M info extr State metric networ M info extr ASIP 3 Mémoires passé futur ASIP Mémoires passé M canal M canal Component decoder Component decoder Extrinsic information networ M info extr page 5 A.Baghdadi, Télécom Bretagne Journée GDR-ISIS, Paris, 4 Jan NoC for flexible turbo decoding Iterative extensive exchanges of interleaved data require a fully flexible on-chip interconnection networ Support of all interleavers avoid communication conflicts ASIP ASIP ASIP ASIP 3 ASIP 4 ASIP 5 Conflict NoC MEM8 MEM 9 MEM MEM MEM MEM3 MEM4 ASIP 8 ASIP 9 ASIP ASIP ASIP ASIP 3 ASI P ASI P ASIP K- ON-CHIP COMMUCATION NETWORK ASIP K A SI PK+ A SI P K- ASIP K- ASIP K- ASIP 6 ME M5 ASIP 4 COMPON ENT DE CODE R COMP ONENT DE CODER ASIP 7 Butterfly topology ASIP 5 Appropriate NoC topologies explored (Butterfly, Benes, De Bruijn) page 6 A.Baghdadi, Télécom Bretagne Journée GDR-ISIS, Paris, 4 Jan 3

14 Results and more information AFANA Project (Application-Field-Aware Adaptive Networ on chip Architecture) ( ) InPixal ANR Architecture du futur 7- page 7 A.Baghdadi, Télécom Bretagne Journée GDR-ISIS, Paris, 4 Jan Prototyping and on-board validation 8-ASIP prototype using a logic emulation board F = 35 MHz 53% of a single FPGA Xilinx Virtex 5 LX33 Turbo decoding: 5 6 iterations With,5 cycle/symbol (a new ASIP ver) 6 itrations ASIP 3 ASIP ASIP 7 ASIP 6 ASIP ASIP 5 ASIP ASIP 4 Target ASIC: Gbps with -ASIP architecture page 8 A.Baghdadi, Télécom Bretagne Journée GDR-ISIS, Paris, 4 Jan 4

15 References Research contributions from my past and current supervised PhD students: Olivier Muller Atif Raza Jafri Hazem Moussa Selected reference publications:. O. Muller, A. Baghdadi, M. Jezequel, From Parallelism Levels to a Multi-ASIP Architecture for Turbo Decoding, IEEE TVLSI, Vol. 7, Issue:, Page(s): 9-, Jan. 9.. O. Muller, A. Baghdadi, and M. Jezequel, From application to ASIP-based FPGA prototype : a case study on turbo decoding, 9th IEEE/IFIP international symposium on Rapid System Prototyping, June H. Moussa, O. Muller, A. Baghdadi, M. Jézéquel, "Butterfly and Benes-Based on-chip Communication Networs for Multiprocessor Turbo Decoding", DATE 7, April O. Muller, A. Baghdadi, and M. Jezequel, On the Parallelism of Convolutional Turbo Decoding and Interleaving Interference, IEEE Global Telecommunications Conference (GLOBECOM 6), November 6. page 9 A.Baghdadi, Télécom Bretagne Journée GDR-ISIS, Paris, 4 Jan Conclusions Flexibility requirements in channel decoding and turbo decoding applications Turbo decoding and parallelism levels Detailed architecture of a typical ASIP for flexible turbo decoding Illustration of decoding process, pipeline, and resources utilization throughout a detailed application example ASIP design and verification flow NoC-based multi-asip turbo decoding page 3 A.Baghdadi, Télécom Bretagne Journée GDR-ISIS, Paris, 4 Jan 5

16 Perspectives on going wor Towards an innovative universal channel decoder architecture Unifying flexibility-oriented and optimization-oriented approaches UDEC Project (Universal channel DECoder) ( ) ANR Architecture du futur 8- page 3 A.Baghdadi, Télécom Bretagne Journée GDR-ISIS, Paris, 4 Jan 6

ASIP-Based Multiprocessor SoC Design for Simple and Double Binary Turbo Decoding

ASIP-Based Multiprocessor SoC Design for Simple and Double Binary Turbo Decoding ASIP-Based ultiprocessor SoC Design for Simple and Double Binary Turbo Decoding Olivier uller, Amer Baghdadi, ichel Jézéquel Electronics Department, ENST Bretagne, Technopôle Brest Iroise, 29238 Brest,

More information

Stopping-free dynamic configuration of a multi-asip turbo decoder

Stopping-free dynamic configuration of a multi-asip turbo decoder 2013 16th Euromicro Conference on Digital System Design Stopping-free dynamic configuration of a multi-asip turbo decoder Vianney Lapotre, Purushotham Murugappa, Guy Gogniat, Amer Baghdadi, Michael Hübner

More information

IEEE Proof Web Version

IEEE Proof Web Version IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 From Parallelism Levels to a Multi-ASIP Architecture for Turbo Decoding Olivier Muller, Member, IEEE, Amer Baghdadi, and Michel Jézéquel,

More information

Exploring Parallel Processing Levels for Convolutional Turbo Decoding

Exploring Parallel Processing Levels for Convolutional Turbo Decoding Exploring Parallel Processing Levels for Convolutional Turbo Decoding Olivier Muller Electronics Department, GET/EST Bretagne Technopôle Brest Iroise, 29238 Brest, France olivier.muller@enst-bretagne.fr

More information

A Flexible High Throughput Multi-ASIP Architecture for LDPC and Turbo Decoding

A Flexible High Throughput Multi-ASIP Architecture for LDPC and Turbo Decoding A Flexible High Throughput Multi-ASIP Architecture for LDPC and Turbo Decoding Purushotham MURUGAPPA, Rachid AL-KHAYAT, Amer BAGHDADI and Michel JEZEQUEL E-mail: {Firstname.surname}@telecom-bretagne.eu

More information

Configuration latency constraint and frame duration /13/$ IEEE. a) Configuration latency constraint

Configuration latency constraint and frame duration /13/$ IEEE. a) Configuration latency constraint An efficient on-chip configuration infrastructure for a flexible multi-asip turbo decoder architecture Vianney Lapotre, Michael Hübner, Guy Gogniat, Purushotham Murugappa, Amer Baghdadi and Jean-Philippe

More information

DESIGN AND IMPLEMENTATION FOR A MULTI- STANDARD TURBO DECODER USING A RECONFIGURABLE ASIP

DESIGN AND IMPLEMENTATION FOR A MULTI- STANDARD TURBO DECODER USING A RECONFIGURABLE ASIP DESIGN AND IMPLEMENTATION FOR A MULTI- STANDARD TURBO DECODER USING A RECONFIGURABLE ASIP By Eid Mohamed Abdel-Hamid Abdel-Azim A Thesis Submitted to the Faculty of Engineering at Cairo University in Partial

More information

Research Article Parallelism Efficiency in Convolutional Turbo Decoding

Research Article Parallelism Efficiency in Convolutional Turbo Decoding Hindawi Publishing Corporation EURASIP Journal on Advances in Signal Processing Volume 21, Article ID 92792, 11 pages doi:1.1155/21/92792 Research Article Parallelism Efficiency in Convolutional Turbo

More information

Towards and ASIP optimized for multi-standard turbo decoding

Towards and ASIP optimized for multi-standard turbo decoding Towards and ASIP optimized for multi-standard turbo decoding Rachid Al Khayat To cite this version: Rachid Al Khayat. Towards and ASIP optimized for multi-standard turbo decoding. Electronics. Télécom

More information

A Scalable Multi-Core ASIP Virtual Platform For Standard-Compliant Trellis Decoding

A Scalable Multi-Core ASIP Virtual Platform For Standard-Compliant Trellis Decoding A Scalable Multi-Core ASIP Virtual Platform For Standard-Compliant Trellis Decoding Matthias Jung, Christian Brehm, Norbert Wehn Microelectronic Systems Design Research Group University of Kaiserslautern,

More information

ERROR CONTROL CODING FOR B3G/4G WIRELESS SYSTEMS

ERROR CONTROL CODING FOR B3G/4G WIRELESS SYSTEMS ERROR CONTROL CODING FOR B3G/4G WIRELESS SYSTEMS PAVING THE WAY TO IMT-ADVANCED STANDARDS Edited by Dr. Thierry Lestable SAGEMCOM, France (formerly with Samsung Electronics) Dr. Moshe Ran H.I.T - Holon

More information

High speed low complexity radix-16 Max-Log-MAP SISO decoder

High speed low complexity radix-16 Max-Log-MAP SISO decoder High speed low complexity radix-16 Max-Log-MAP SISO decoder Oscar David Sanchez Gonzalez, Christophe Jego, Michel Jezequel, Yannick Saouter To cite this version: Oscar David Sanchez Gonzalez, Christophe

More information

Iterative Decoding of Concatenated Convolutional Codes: Implementation Issues

Iterative Decoding of Concatenated Convolutional Codes: Implementation Issues E. BOUTILLON, C. DOUILLARD, G. MONTORSI 1 Iterative Decoding of Concatenated Convolutional Codes: Implementation Issues Emmanuel Boutillon, Catherine Douillard, and Guido Montorsi Abstract This tutorial

More information

High Speed Downlink Packet Access efficient turbo decoder architecture: 3GPP Advanced Turbo Decoder

High Speed Downlink Packet Access efficient turbo decoder architecture: 3GPP Advanced Turbo Decoder I J C T A, 9(24), 2016, pp. 291-298 International Science Press High Speed Downlink Packet Access efficient turbo decoder architecture: 3GPP Advanced Turbo Decoder Parvathy M.*, Ganesan R.*,** and Tefera

More information

ASIP LDPC DESIGN FOR AD AND AC

ASIP LDPC DESIGN FOR AD AND AC ASIP LDPC DESIGN FOR 802.11AD AND 802.11AC MENG LI CSI DEPARTMENT 3/NOV/2014 GDR-ISIS @ TELECOM BRETAGNE BREST FRANCE OUTLINES 1. Introduction of IMEC and CSI department 2. ASIP design flow 3. Template

More information

Implementation of Turbo Product Codes in the FEC-API. Kiran Karra Virginia Tech

Implementation of Turbo Product Codes in the FEC-API. Kiran Karra Virginia Tech Implementation of Turbo Product Codes in the FEC-API Kiran Karra Virginia Tech Agenda Introduction Turbo Product Code Encoding Overview Turbo Product Code Decoding Overview Implementation in C++ BER Performance

More information

ISSCC 2003 / SESSION 8 / COMMUNICATIONS SIGNAL PROCESSING / PAPER 8.7

ISSCC 2003 / SESSION 8 / COMMUNICATIONS SIGNAL PROCESSING / PAPER 8.7 ISSCC 2003 / SESSION 8 / COMMUNICATIONS SIGNAL PROCESSING / PAPER 8.7 8.7 A Programmable Turbo Decoder for Multiple 3G Wireless Standards Myoung-Cheol Shin, In-Cheol Park KAIST, Daejeon, Republic of Korea

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 56, NO. 1, JANUARY 2009 81 Bit-Level Extrinsic Information Exchange Method for Double-Binary Turbo Codes Ji-Hoon Kim, Student Member,

More information

EFFICIENT RECURSIVE IMPLEMENTATION OF A QUADRATIC PERMUTATION POLYNOMIAL INTERLEAVER FOR LONG TERM EVOLUTION SYSTEMS

EFFICIENT RECURSIVE IMPLEMENTATION OF A QUADRATIC PERMUTATION POLYNOMIAL INTERLEAVER FOR LONG TERM EVOLUTION SYSTEMS Rev. Roum. Sci. Techn. Électrotechn. et Énerg. Vol. 61, 1, pp. 53 57, Bucarest, 016 Électronique et transmission de l information EFFICIENT RECURSIVE IMPLEMENTATION OF A QUADRATIC PERMUTATION POLYNOMIAL

More information

A Reconfigurable Multi-Processor Platform for Convolutional and Turbo Decoding

A Reconfigurable Multi-Processor Platform for Convolutional and Turbo Decoding A Reconfigurable Multi-Processor Platform for Convolutional and Turbo Decoding Timo Vogt, Christian Neeb, and Norbert Wehn University of Kaiserslautern, Kaiserslautern, Germany {vogt, neeb, wehn}@eit.uni-kl.de

More information

Performance Optimization and Parallelization of Turbo Decoding for Software-Defined Radio

Performance Optimization and Parallelization of Turbo Decoding for Software-Defined Radio Performance Optimization and Parallelization of Turbo Decoding for Software-Defined Radio by Jonathan Leonard Roth A thesis submitted to the Department of Electrical and Computer Engineering in conformity

More information

General Purpose Signal Processors

General Purpose Signal Processors General Purpose Signal Processors First announced in 1978 (AMD) for peripheral computation such as in printers, matured in early 80 s (TMS320 series). General purpose vs. dedicated architectures: Pros:

More information

The Lekha 3GPP LTE FEC IP Core meets 3GPP LTE specification 3GPP TS V Release 10[1].

The Lekha 3GPP LTE FEC IP Core meets 3GPP LTE specification 3GPP TS V Release 10[1]. Lekha IP 3GPP LTE FEC Encoder IP Core V1.0 The Lekha 3GPP LTE FEC IP Core meets 3GPP LTE specification 3GPP TS 36.212 V 10.5.0 Release 10[1]. 1.0 Introduction The Lekha IP 3GPP LTE FEC Encoder IP Core

More information

Cost efficient FPGA implementations of Min- Sum and Self-Corrected-Min-Sum decoders

Cost efficient FPGA implementations of Min- Sum and Self-Corrected-Min-Sum decoders Cost efficient FPGA implementations of Min- Sum and Self-Corrected-Min-Sum decoders Oana Boncalo (1), Alexandru Amaricai (1), Valentin Savin (2) (1) University Politehnica Timisoara, Romania (2) CEA-LETI,

More information

FlexiChaP: A Reconfigurable ASIP for Convolutional, Turbo, and LDPC Code Decoding

FlexiChaP: A Reconfigurable ASIP for Convolutional, Turbo, and LDPC Code Decoding FlexiChaP: A Reconfigurable ASIP for Convolutional, Turbo, and LDPC Code Decoding Matthias Alles, Timo Vogt, Norbert Wehn University of Kaiserslautern Erwin-Schroedinger-Str. 67663 Kaiserslautern, Germany

More information

Programmable Turbo Decoder Supporting Multiple Third-Generation Wireless Standards

Programmable Turbo Decoder Supporting Multiple Third-Generation Wireless Standards Programmable Turbo Decoder Supporting Multiple Third-eneration Wireless Standards Myoung-Cheol Shin and In-Cheol Park Department of Electrical Engineering and Computer Science, KAIST Yuseong-gu Daejeon,

More information

Non-Binary Turbo Codes Interleavers

Non-Binary Turbo Codes Interleavers Non-Binary Turbo Codes Interleavers Maria KOVACI, Horia BALTA University Polytechnic of Timişoara, Faculty of Electronics and Telecommunications, Postal Address, 30223 Timişoara, ROMANIA, E-Mail: mariakovaci@etcuttro,

More information

Research Article A Programmable Max-Log-MAP Turbo Decoder Implementation

Research Article A Programmable Max-Log-MAP Turbo Decoder Implementation VLSI Design Volume 28, Article ID 3995, 7 pages doi:.55/28/3995 Research Article A Programmable Max-Log-MAP Turbo Decoder Implementation Perttu Salmela, Harri Sorokin, and Jarmo Takala Department of Computer

More information

Reconfigurable Cell Array for DSP Applications

Reconfigurable Cell Array for DSP Applications Outline econfigurable Cell Array for DSP Applications Chenxin Zhang Department of Electrical and Information Technology Lund University, Sweden econfigurable computing Coarse-grained reconfigurable cell

More information

EE 8217 *Reconfigurable Computing Systems Engineering* Sample of Final Examination

EE 8217 *Reconfigurable Computing Systems Engineering* Sample of Final Examination 1 Student name: Date: June 26, 2008 General requirements for the exam: 1. This is CLOSED BOOK examination; 2. No questions allowed within the examination period; 3. If something is not clear in question

More information

Multi-Megabit Channel Decoder

Multi-Megabit Channel Decoder MPSoC 13 July 15-19, 2013 Otsu, Japan Multi-Gigabit Channel Decoders Ten Years After Norbert Wehn wehn@eit.uni-kl.de Multi-Megabit Channel Decoder MPSoC 03 N. Wehn UMTS standard: 2 Mbit/s throughput requirements

More information

A generalized precompiling scheme for surviving path memory management in Viterbi decoders

A generalized precompiling scheme for surviving path memory management in Viterbi decoders A generalized precompiling scheme for surviving path memory management in Viterbi decoders Emmanuel BOUTON, Nicolas DEMASSEUX Telecom Paris, E.N.S.T, 46 rue Barrault, 75634 PARS CEDEX 3, FRANCE e-mail

More information

HDL Implementation of an Efficient Partial Parallel LDPC Decoder Using Soft Bit Flip Algorithm

HDL Implementation of an Efficient Partial Parallel LDPC Decoder Using Soft Bit Flip Algorithm I J C T A, 9(20), 2016, pp. 75-80 International Science Press HDL Implementation of an Efficient Partial Parallel LDPC Decoder Using Soft Bit Flip Algorithm Sandeep Kakde* and Atish Khobragade** ABSTRACT

More information

Iterative Decoding of Concatenated Convolutional Codes: Implementation Issues

Iterative Decoding of Concatenated Convolutional Codes: Implementation Issues E. BOUTILLON, C. DOUILLARD, G. MONTORSI 1 Iterative Decoding of Concatenated Convolutional Codes: Implementation Issues Emmanuel Boutillon 1, Catherine Douillard 2, and Guido Montorsi 3 Abstract This tutorial

More information

A Reconfigurable Outer Modem Platform for Future Wireless Communications Systems. Timo Vogt Norbert Wehn {vogt,

A Reconfigurable Outer Modem Platform for Future Wireless Communications Systems. Timo Vogt Norbert Wehn {vogt, Microelectronic System Design TU Kaiserslautern www.eit.uni-kl.de/wehn A econfigurable Outer Modem Platform for Future Wireless Communications Systems Timo Vogt Norbert Wehn {vogt, wehn}@eit.uni-kl.de

More information

Towards an optimal parallel decoding of turbo codes

Towards an optimal parallel decoding of turbo codes owards an optimal parallel decoding of turbo codes David Gnaedig *, Emmanuel Boutillon +, Jacky ousch *, Michel Jézéquel * urboconcept, 115 rue Claude Chappe, 29280 PLOUZANE, France + LEER Unité CNR FRE

More information

The Lekha 3GPP LTE Turbo Decoder IP Core meets 3GPP LTE specification 3GPP TS V Release 10[1].

The Lekha 3GPP LTE Turbo Decoder IP Core meets 3GPP LTE specification 3GPP TS V Release 10[1]. Lekha IP Core: LW RI 1002 3GPP LTE Turbo Decoder IP Core V1.0 The Lekha 3GPP LTE Turbo Decoder IP Core meets 3GPP LTE specification 3GPP TS 36.212 V 10.5.0 Release 10[1]. Introduction The Lekha IP 3GPP

More information

< Irregular Repeat-Accumulate LDPC Code Proposal Technology Overview

<  Irregular Repeat-Accumulate LDPC Code Proposal Technology Overview Project IEEE 802.20 Working Group on Mobile Broadband Wireless Access Title Irregular Repeat-Accumulate LDPC Code Proposal Technology Overview Date Submitted Source(s):

More information

LDPC Code Ensembles that Universally Achieve Capacity under Belief Propagation Decoding

LDPC Code Ensembles that Universally Achieve Capacity under Belief Propagation Decoding LDPC Code Ensembles that Universally Achieve Capacity under Belief Propagation Decoding A Simple Derivation Anatoly Khina Tel Aviv University Joint work with: Yair Yona, UCLA Uri Erez, Tel Aviv University

More information

We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists. International authors and editors

We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists. International authors and editors We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists 4,100 116,000 120M Open access books available International authors and editors Downloads Our

More information

Super Codes: A Flexible Multi Rate Coding System

Super Codes: A Flexible Multi Rate Coding System Super Codes: A Flexible Multi Rate Coding System Steven S. Pietrobon Small World Communications, 6 First Avenue, Payneham South SA 57, Australia. E mail: steven@sworld.com.au Abstract: We define super

More information

Further Specialization of Clustered VLIW Processors: A MAP Decoder for Software Defined Radio

Further Specialization of Clustered VLIW Processors: A MAP Decoder for Software Defined Radio Further Specialization of Clustered VLIW Processors: A MAP Decoder for Software Defined Radio Pablo Ituero and Marisa López-Vallejo Turbo codes are extensively used in current communications standards

More information

Implementation of a Turbo Encoder and Turbo Decoder on DSP Processor-TMS320C6713

Implementation of a Turbo Encoder and Turbo Decoder on DSP Processor-TMS320C6713 International Journal of Engineering Research and Development e-issn : 2278-067X, p-issn : 2278-800X,.ijerd.com Volume 2, Issue 5 (July 2012), PP. 37-41 Implementation of a Turbo Encoder and Turbo Decoder

More information

BER Guaranteed Optimization and Implementation of Parallel Turbo Decoding on GPU

BER Guaranteed Optimization and Implementation of Parallel Turbo Decoding on GPU 2013 8th International Conference on Communications and Networking in China (CHINACOM) BER Guaranteed Optimization and Implementation of Parallel Turbo Decoding on GPU Xiang Chen 1,2, Ji Zhu, Ziyu Wen,

More information

A unified turbo/ldpc code decoder architecture for deep-space communications

A unified turbo/ldpc code decoder architecture for deep-space communications A unified turbo/ldpc code decoder architecture for deep-space communications Carlo Condo, Guido Masera, Senior Member IEEE Dipartimento di Elettronica e Telecomunicazioni, Politecnico di Torino, Italy

More information

PERFORMANCE ANALYSIS OF HIGH EFFICIENCY LOW DENSITY PARITY-CHECK CODE DECODER FOR LOW POWER APPLICATIONS

PERFORMANCE ANALYSIS OF HIGH EFFICIENCY LOW DENSITY PARITY-CHECK CODE DECODER FOR LOW POWER APPLICATIONS American Journal of Applied Sciences 11 (4): 558-563, 2014 ISSN: 1546-9239 2014 Science Publication doi:10.3844/ajassp.2014.558.563 Published Online 11 (4) 2014 (http://www.thescipub.com/ajas.toc) PERFORMANCE

More information

An FPGA Based Adaptive Viterbi Decoder

An FPGA Based Adaptive Viterbi Decoder An FPGA Based Adaptive Viterbi Decoder Sriram Swaminathan Russell Tessier Department of ECE University of Massachusetts Amherst Overview Introduction Objectives Background Adaptive Viterbi Algorithm Architecture

More information

Discontinued IP. Verification

Discontinued IP. Verification 0 3GPP2 Turbo Decoder v2.1 DS275 February 15, 2007 0 0 Features Drop-in module for Spartan -3, Spartan-3E, Spartan-3A/3AN, Virtex -II, Virtex-II Pro, Virtex-4, and Virtex-5 FPGAs Implements the CDMA2000/3GPP2

More information

Comparison of Various Concatenated Convolutional Code Ensembles under Spatial Coupling

Comparison of Various Concatenated Convolutional Code Ensembles under Spatial Coupling Comparison of Various Concatenated Convolutional Code Ensembles under Spatial Coupling GABRIEL IRO RAJESHWARI KABBINALE MASTER S THESIS DEPARTMENT OF ELECTRICAL AND INFORMATION TECHNOLOGY FACULTY OF ENGINEERING

More information

Discontinued IP. 3GPP2 Turbo Decoder v1.0. Features. Applications. General Description

Discontinued IP. 3GPP2 Turbo Decoder v1.0. Features. Applications. General Description 0 3GPP2 Turbo Decoder v1.0 DS275 April 28, 2005 0 0 Features Drop-in module for Spartan -3, Spartan-3E, Virtex -II and Virtex-II Pro FPGAs Implements the CDMA2000/3GPP2 specification[1] Core contains the

More information

LDPC Code Ensembles that Universally Achieve Capacity under Belief Propagation Decoding

LDPC Code Ensembles that Universally Achieve Capacity under Belief Propagation Decoding LDPC Code Ensembles that Universally Achieve Capacity under Belief Propagation Decoding A Simple Derivation Anatoly Khina Caltech Joint work with: Yair Yona, UCLA Uri Erez, Tel Aviv University PARADISE

More information

High Speed ACSU Architecture for Viterbi Decoder Using T-Algorithm

High Speed ACSU Architecture for Viterbi Decoder Using T-Algorithm High Speed ACSU Architecture for Viterbi Decoder Using T-Algorithm Atish A. Peshattiwar & Tejaswini G. Panse Department of Electronics Engineering, Yeshwantrao Chavan College of Engineering, E-mail : atishp32@gmail.com,

More information

PCE03D DVB RCS/ WiMAX Turbo Encoder. Small World Communications. PCE03D Features. Introduction. Signal Descriptions

PCE03D DVB RCS/ WiMAX Turbo Encoder. Small World Communications. PCE03D Features. Introduction. Signal Descriptions PCED DVB RCS/ WiMAX Turbo Encoder Product Specification PCED Features 8 state DVB RCS and IEEE 8.6 WiMAX compatible Rate /, /5, /, /, /4, 4/5, 5/6, 6/7, 7/8 with reverse output option Automatic puncturing

More information

Design of Convolution Encoder and Reconfigurable Viterbi Decoder

Design of Convolution Encoder and Reconfigurable Viterbi Decoder RESEARCH INVENTY: International Journal of Engineering and Science ISSN: 2278-4721, Vol. 1, Issue 3 (Sept 2012), PP 15-21 www.researchinventy.com Design of Convolution Encoder and Reconfigurable Viterbi

More information

Implementation of Convolution Encoder and Viterbi Decoder Using Verilog

Implementation of Convolution Encoder and Viterbi Decoder Using Verilog International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 11, Number 1 (2018), pp. 13-21 International Research Publication House http://www.irphouse.com Implementation

More information

The design and implementation of TPC encoder and decoder

The design and implementation of TPC encoder and decoder Journal of Physics: Conference Series PAPER OPEN ACCESS The design and implementation of TPC encoder and decoder To cite this article: L J Xiang et al 016 J. Phys.: Conf. Ser. 679 0103 Related content

More information

Error Control Coding for MLC Flash Memories

Error Control Coding for MLC Flash Memories Error Control Coding for MLC Flash Memories Ying Y. Tai, Ph.D. Cadence Design Systems, Inc. ytai@cadence.com August 19, 2010 Santa Clara, CA 1 Outline The Challenges on Error Control Coding (ECC) for MLC

More information

DESIGNING OPTIMIZED PARALLEL INTERLEAVER

DESIGNING OPTIMIZED PARALLEL INTERLEAVER THESE / UNIVERSITE DE BRETAGNE-SUD sous le sceau de l Université européenne de Bretagne pour obtenir le titre de DOCTEUR DE L UNIVERSITE DE BRETAGNE-SUD Mention : Ecole doctorale SICMA présentée par Saeed

More information

Implementation of a Fully-Parallel Turbo Decoder on a General-Purpose Graphics Processing Unit

Implementation of a Fully-Parallel Turbo Decoder on a General-Purpose Graphics Processing Unit Received June 7, 2016, accepted June 28, 2016, date of publication June 29, 2016, date of current version October 6, 2016. Digital Object Identifier 10.1109/ACCESS.2016.2586309 Implementation of a Fully-Parallel

More information

Implementation of a Real Time Programmable Encoder for Low Density Parity Check Code on a Reconfigurable Instruction Cell Architecture

Implementation of a Real Time Programmable Encoder for Low Density Parity Check Code on a Reconfigurable Instruction Cell Architecture Implementation of a Real Time Programmable Encoder for Low Density Parity Check Code on a Reconfigurable Instruction Cell Architecture Zahid Khan, Tughrul Arslan System Level Integration Group, The University

More information

Optimal M-BCJR Turbo Decoding: The Z-MAP Algorithm

Optimal M-BCJR Turbo Decoding: The Z-MAP Algorithm Wireless Engineering and Technology, 2011, 2, 230-234 doi:10.4236/wet.2011.24031 Published Online October 2011 (http://www.scirp.org/journal/wet) Optimal M-BCJR Turbo Decoding: The Z-MAP Algorithm Aissa

More information

A Novel Area Efficient Folded Modified Convolutional Interleaving Architecture for MAP Decoder

A Novel Area Efficient Folded Modified Convolutional Interleaving Architecture for MAP Decoder A Novel Area Efficient Folded Modified Convolutional Interleaving Architecture for Decoder S.Shiyamala Department of ECE SSCET Palani, India. Dr.V.Rajamani Principal IGCET Trichy,India ABSTRACT This paper

More information

Computer Systems Architecture Spring 2016

Computer Systems Architecture Spring 2016 Computer Systems Architecture Spring 2016 Lecture 01: Introduction Shuai Wang Department of Computer Science and Technology Nanjing University [Adapted from Computer Architecture: A Quantitative Approach,

More information

Pipelining: Hazards Ver. Jan 14, 2014

Pipelining: Hazards Ver. Jan 14, 2014 POLITECNICO DI MILANO Parallelism in wonderland: are you ready to see how deep the rabbit hole goes? Pipelining: Hazards Ver. Jan 14, 2014 Marco D. Santambrogio: marco.santambrogio@polimi.it Simone Campanoni:

More information

The Connected IT world

The Connected IT world ReCoSoC July2013, Darmstadt The Connected IT world Infrastructural core The Cloud! Sensory swarm Mobile access Source: J. Rabaey 7 trillion wireless devices in 2017, mobile traffic increase 60%/year until

More information

A Generic Architecture of CCSDS Low Density Parity Check Decoder for Near-Earth Applications

A Generic Architecture of CCSDS Low Density Parity Check Decoder for Near-Earth Applications A Generic Architecture of CCSDS Low Density Parity Check Decoder for Near-Earth Applications Fabien Demangel, Nicolas Fau, Nicolas Drabik, François Charot, Christophe Wolinski To cite this version: Fabien

More information

TURBO codes, [1], [2], have attracted much interest due

TURBO codes, [1], [2], have attracted much interest due 800 IEEE TRANSACTIONS ON INFORMATION THEORY, VOL. 47, NO. 2, FEBRUARY 2001 Zigzag Codes and Concatenated Zigzag Codes Li Ping, Member, IEEE, Xiaoling Huang, and Nam Phamdo, Senior Member, IEEE Abstract

More information

TSEA 26 exam page 1 of Examination. Design of Embedded DSP Processors, TSEA26 Date 8-12, G34, G32, FOI hus G

TSEA 26 exam page 1 of Examination. Design of Embedded DSP Processors, TSEA26 Date 8-12, G34, G32, FOI hus G TSEA 26 exam page 1 of 10 20171019 Examination Design of Embedded DSP Processors, TSEA26 Date 8-12, 2017-10-19 Room G34, G32, FOI hus G Time 08-12AM Course code TSEA26 Exam code TEN1 Design of Embedded

More information

Venezia: a Scalable Multicore Subsystem for Multimedia Applications

Venezia: a Scalable Multicore Subsystem for Multimedia Applications Venezia: a Scalable Multicore Subsystem for Multimedia Applications Takashi Miyamori Toshiba Corporation Outline Background Venezia Hardware Architecture Venezia Software Architecture Evaluation Chip and

More information

Nearly-optimal associative memories based on distributed constant weight codes

Nearly-optimal associative memories based on distributed constant weight codes Nearly-optimal associative memories based on distributed constant weight codes Vincent Gripon Electronics and Computer Enginering McGill University Montréal, Canada Email: vincent.gripon@ens-cachan.org

More information

Chip Design for Turbo Encoder Module for In-Vehicle System

Chip Design for Turbo Encoder Module for In-Vehicle System Chip Design for Turbo Encoder Module for In-Vehicle System Majeed Nader Email: majeed@wayneedu Yunrui Li Email: yunruili@wayneedu John Liu Email: johnliu@wayneedu Abstract This paper studies design and

More information

Low complexity FEC Systems for Satellite Communication

Low complexity FEC Systems for Satellite Communication Low complexity FEC Systems for Satellite Communication Ashwani Singh Navtel Systems 2 Rue Muette, 27000,Houville La Branche, France Tel: +33 237 25 71 86 E-mail: ashwani.singh@navtelsystems.com Henry Chandran

More information

Multicore SoC is coming. Scalable and Reconfigurable Stream Processor for Mobile Multimedia Systems. Source: 2007 ISSCC and IDF.

Multicore SoC is coming. Scalable and Reconfigurable Stream Processor for Mobile Multimedia Systems. Source: 2007 ISSCC and IDF. Scalable and Reconfigurable Stream Processor for Mobile Multimedia Systems Liang-Gee Chen Distinguished Professor General Director, SOC Center National Taiwan University DSP/IC Design Lab, GIEE, NTU 1

More information

Computer organization by G. Naveen kumar, Asst Prof, C.S.E Department 1

Computer organization by G. Naveen kumar, Asst Prof, C.S.E Department 1 Pipelining and Vector Processing Parallel Processing: The term parallel processing indicates that the system is able to perform several operations in a single time. Now we will elaborate the scenario,

More information

Mapping the SISO module of the Turbo decoder to a FPFA

Mapping the SISO module of the Turbo decoder to a FPFA Mapping the SISO module of the Turbo decoder to a FPF Gerard J.M. Smit, Paul M. Heysters, Paul J.M. Havinga, odewijk T. Smit, John Dilessen, Jos Huisken 1 niversity of Twente, dept. of Computer Science,

More information

COE 561 Digital System Design & Synthesis Introduction

COE 561 Digital System Design & Synthesis Introduction 1 COE 561 Digital System Design & Synthesis Introduction Dr. Aiman H. El-Maleh Computer Engineering Department King Fahd University of Petroleum & Minerals Outline Course Topics Microelectronics Design

More information

DESIGN OF EFFICIENT ROUTING ALGORITHM FOR CONGESTION CONTROL IN NOC

DESIGN OF EFFICIENT ROUTING ALGORITHM FOR CONGESTION CONTROL IN NOC DESIGN OF EFFICIENT ROUTING ALGORITHM FOR CONGESTION CONTROL IN NOC 1 Pawar Ruchira Pradeep M. E, E&TC Signal Processing, Dr. D Y Patil School of engineering, Ambi, Pune Email: 1 ruchira4391@gmail.com

More information

Comparison of Decoding Algorithms for Concatenated Turbo Codes

Comparison of Decoding Algorithms for Concatenated Turbo Codes Comparison of Decoding Algorithms for Concatenated Turbo Codes Drago Žagar, Nenad Falamić and Snježana Rimac-Drlje University of Osijek Faculty of Electrical Engineering Kneza Trpimira 2b, HR-31000 Osijek,

More information

Improved Soft-Decision Decoding of RSCC Codes

Improved Soft-Decision Decoding of RSCC Codes 2013 IEEE Wireless Communications and Networking Conference (WCNC): PHY Improved Soft-Decision Decoding of RSCC Codes Li Chen School of Information Science and Technology, Sun Yat-sen University Guangzhou,

More information

Viterbi Algorithm - Implementation

Viterbi Algorithm - Implementation Viterbi Algorithm - Implementation Lecture 14 Vladimir Stojanović 6.973 Communication System Design Spring 2006 Massachusetts Institute of Technology Convolutional Codes Adding redundancy 1+D 2 + 0111

More information

Design of Embedded DSP Processors Unit 2: Design basics. 9/11/2017 Unit 2 of TSEA H1 1

Design of Embedded DSP Processors Unit 2: Design basics. 9/11/2017 Unit 2 of TSEA H1 1 Design of Embedded DSP Processors Unit 2: Design basics 9/11/2017 Unit 2 of TSEA26-2017 H1 1 ASIP/ASIC design flow We need to have the flow in mind, so that we will know what we are talking about in later

More information

Tradeoff Analysis and Architecture Design of High Throughput Irregular LDPC Decoders

Tradeoff Analysis and Architecture Design of High Throughput Irregular LDPC Decoders IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 1, NO. 1, NOVEMBER 2006 1 Tradeoff Analysis and Architecture Design of High Throughput Irregular LDPC Decoders Predrag Radosavljevic, Student

More information

Analysis of Packet-Level Forward Error Correction for Video Transmission

Analysis of Packet-Level Forward Error Correction for Video Transmission Analysis of Pacet-Level Forward Error Correction for Video Transmission Matteo Mazzotti, Enrico Paolini, Marco Chiani, Benjamin Gadat, Cyril Bergeron, Roberta Fracchia DEIS/WiLAB, University of Bologna,

More information

AS TURBO codes [1], or parallel concatenated convolutional

AS TURBO codes [1], or parallel concatenated convolutional IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 15, NO. 7, JULY 2007 801 SIMD Processor-Based Turbo Decoder Supporting Multiple Third-Generation Wireless Standards Myoung-Cheol Shin,

More information

Design of a Low Density Parity Check Iterative Decoder

Design of a Low Density Parity Check Iterative Decoder 1 Design of a Low Density Parity Check Iterative Decoder Jean Nguyen, Computer Engineer, University of Wisconsin Madison Dr. Borivoje Nikolic, Faculty Advisor, Electrical Engineer, University of California,

More information

An Asynchronous Array of Simple Processors for DSP Applications

An Asynchronous Array of Simple Processors for DSP Applications An Asynchronous Array of Simple Processors for DSP Applications Zhiyi Yu, Michael Meeuwsen, Ryan Apperson, Omar Sattari, Michael Lai, Jeremy Webb, Eric Work, Tinoosh Mohsenin, Mandeep Singh, Bevan Baas

More information

International Journal of Science Engineering and Advance Technology, IJSEAT, Vol 2, Issue 11, November ISSN

International Journal of Science Engineering and Advance Technology, IJSEAT, Vol 2, Issue 11, November ISSN Rtl Desing And Vlsi Implementation Of An Efficient Convolution Encoder And Adaptive Viterbi Decoder Thalakayala Eleesha #1 V.G.Pavan Kumar #2 #1 Student, M.Tech (VLSI), #2 Assistant Professor, Sri Vasavi

More information

Overview. CSE372 Digital Systems Organization and Design Lab. Hardware CAD. Two Types of Chips

Overview. CSE372 Digital Systems Organization and Design Lab. Hardware CAD. Two Types of Chips Overview CSE372 Digital Systems Organization and Design Lab Prof. Milo Martin Unit 5: Hardware Synthesis CAD (Computer Aided Design) Use computers to design computers Virtuous cycle Architectural-level,

More information

Design and Implementation of Low Density Parity Check Codes

Design and Implementation of Low Density Parity Check Codes IOSR Journal of Engineering (IOSRJEN) ISSN (e): 2250-3021, ISSN (p): 2278-8719 Vol. 04, Issue 09 (September. 2014), V2 PP 21-25 www.iosrjen.org Design and Implementation of Low Density Parity Check Codes

More information

Flexible wireless communication architectures

Flexible wireless communication architectures Flexible wireless communication architectures Sridhar Rajagopal Department of Electrical and Computer Engineering Rice University, Houston TX Faculty Candidate Seminar Southern Methodist University April

More information

The BICM Capacity of Coherent Continuous-Phase Frequency Shift Keying

The BICM Capacity of Coherent Continuous-Phase Frequency Shift Keying The BICM Capacity of Coherent Continuous-Phase Frequency Shift Keying Rohit Iyer Seshadri 1 Shi Cheng 1 Matthew C. Valenti 1 1 Lane Department of Computer Science and Electrical Engineering West Virginia

More information

Exploring High Level Synthesis to Improve the Design of Turbo Code Error Correction in a Software Defined Radio Context

Exploring High Level Synthesis to Improve the Design of Turbo Code Error Correction in a Software Defined Radio Context Rochester Institute of Technology RIT Scholar Works Theses Thesis/Dissertation Collections 7-2018 Exploring High Level Synthesis to Improve the Design of Turbo Code Error Correction in a Software Defined

More information

Content. New Challenges Memory and bandwidth

Content. New Challenges Memory and bandwidth Invasic Seminar March 23 2011, Erlangen Content Computing increase and power challenge in (embedded) computing Heterogeneous multi-core architectures with dedicated accelerators New paradigm e.g. invasive

More information

Disclosing the LDPC Code Decoder Design Space

Disclosing the LDPC Code Decoder Design Space Disclosing the LDPC Code Decoder Design Space Torben Brack, Frank Kienle, Norbert Wehn Microelectronic System Design Research Group University of Kaiserslautern Erwin-Schrödinger-Straße 67663 Kaiserslautern,

More information

Embedded Systems. 7. System Components

Embedded Systems. 7. System Components Embedded Systems 7. System Components Lothar Thiele 7-1 Contents of Course 1. Embedded Systems Introduction 2. Software Introduction 7. System Components 10. Models 3. Real-Time Models 4. Periodic/Aperiodic

More information

An Efficient VLSI Architecture of a Clock-gating Turbo Decoder

An Efficient VLSI Architecture of a Clock-gating Turbo Decoder An Efficient VLSI Architecture of a Clock-gating Turbo Decoder Abstracts P Priyanka, P.G.STUDENT, Kakinada Institute of Engineering and Technology M Devi Satya Priya, Asst.prof, Kakinada Institute of Engineering

More information

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw March 2013 Agenda Introduction

More information

lambda-min Decoding Algorithm of Regular and Irregular LDPC Codes

lambda-min Decoding Algorithm of Regular and Irregular LDPC Codes lambda-min Decoding Algorithm of Regular and Irregular LDPC Codes Emmanuel Boutillon, Frédéric Guillou, Jean-Luc Danger To cite this version: Emmanuel Boutillon, Frédéric Guillou, Jean-Luc Danger lambda-min

More information

Low-Power Adaptive Viterbi Decoder for TCM Using T-Algorithm

Low-Power Adaptive Viterbi Decoder for TCM Using T-Algorithm International Journal of Scientific and Research Publications, Volume 3, Issue 8, August 2013 1 Low-Power Adaptive Viterbi Decoder for TCM Using T-Algorithm MUCHHUMARRI SANTHI LATHA*, Smt. D.LALITHA KUMARI**

More information

Contents. List of Figures. List of Tables. Chapter 1 Introduction and Overview

Contents. List of Figures. List of Tables. Chapter 1 Introduction and Overview Contents List of Figures List of Tables v ix Chapter 1 Introduction and Overview 1.1 Overview of the Thesis................................... 4 1.2 Model of a Communication system............................

More information