Eu = {n1, n2} n1 n2. u n3. Iu = {n4} gain(u) = 2 1 = 1 V 1 V 2. Cutset

Size: px
Start display at page:

Download "Eu = {n1, n2} n1 n2. u n3. Iu = {n4} gain(u) = 2 1 = 1 V 1 V 2. Cutset"

Transcription

1 Shantanu Dutt 1 and Wenyong Deng 2 A Probability-Based Approach to VLSI Circuit Partitioning Department of Electrical Engineering 1 of Minnesota University Minneapolis, Minnesota LSI Logic Corporation 2 CA Milpitas, 1

2 The New Probability-Based Partitioner (PROP) Potential Node Gain Computation OUTLINE Problem Denition Previous Partitioning Methods Previous Iterative Improvement Methods Probability Computation Results Conclusions 2

3 Problem Denition: Given a netlist G representing a VLSI circuit, its nodes into two sets meeting size constraints s.t. the partition Results in densely connected modules being physically clustered on chip. Many short wires and fewer long wires, thus minimizing the Problem Denition: Min-Cut Partitioning cost of wires between the two partitions (the cutset) is minimized To layout a VLSI circuit, recursively do min-cut partitioning into 2 until there are 2 nodes in each partition. Cut levels alter- halves, along X and Y dimensions nate wire area 3

4 Iterative-improvement min-cut partitioning: Good, fast [Kernighan & Lin, Bell Syst. J., Feb. 1970] (KL), Examples, Our work has transformed this approach to fvery good, fastg Clustering followed by min-cut partitioning: Very good, slow [Wei & Cheng, ICCAD-89] (ratio-cut), [Hagen & Examples, Simulated annealing and Genetic algorithm: Very good, very slow Proc. of DAC, 1988], [Saab & Rao, Proc. Examples:[Sechen, Numerical optimization: Medium, slow [Mogaki et al., ICCAD, 1987]. More recently, [Riess, Examples: VLSI Partitioning/Placement Methodologies & Mattheyses, Proc. DAC, 1982] (FM), and [Krishnamurthy, [Fidducia IEEE Trans. Comput., May 1984] (LA). IEEE Trans. CAD, Sept. 1992] (EIG1), [Alpert and Kahng, ICCAD 94] (WINDOW), [Alpert and Yao, DAC 95] Kahng, (MELO). DAC, 1989], [Shahookar & Mazumder, IEEE Trans. CAD, 1990] and Johannes, DAC 94] (Paraboli), has put this approach in Doll good, slowg fvery In [Shahookar & Mazumder, ACM Comp. Surv., June 91]: min-cut partitioning is the most cost- \Iterative-improvement eective method" 4

5 Eu is the set of cutset nets connected only to u in V1 Iu is the set of nets connected to u that are not in the cutset The gain can be positive or negative Iterative-Improvement Algorithms: The KL/FM Algorithm The gain of a node u (say, in V1) is dened as X gain(u) := c(n i ) ; X c(n j ) n i 2Eu n j 2Iu Eu = {n1, n2} Iu = {n4} gain(u) = 2 1 = 1 n1 n2 u n3 n4 V 2 V 1 5

6 The KL/FM Algorithm (Contd.) 1. Generate an initial partition Pick best \unlocked" node among both subsets to move if the 2. condition (egs., 45-55%) is met. Otherwise, pick best balance unlocked node to move from the other subset 3. Tentatively move and lock the node 4. Update gains of the neighbors of swapped node 5. Repeat steps 2-4 until all nodes are locked Compute the prex sums Su's of gains of all nodes u in order of 6. Actually perform swaps till node x, s.t. Sx is the highest move. Gain of moved nodes Prefix Sum Make actual moves till this point If Sx > 0 new partition = swapped partition repeat steps new partition = old partition exit else 6

7 The ith element of the gain vector, 1 i k, gain(u)[i] = (# of in the cutset that are connected to i nodes in V1 including u) - nets Generally, best performance is obtained for k = 2 to 4. Memory is (p k max ). requirement Lookahead (LA) Algorithm [Krishnamurthy, IEEE Trans. The May 1984] Comput., Each node has a gain vector gain(u)[k] of node u with k elements is the degree of lookahead. Assume u 2 V1. k (# of nets in the cutset connected to u that have i;1 nodes in V2) gain(u)[1] = 2 1 = 1 n1 n2 u n3 gain(u)[2] = 2 n4 gain(u)[3] = 2 1 = 1 V 2 V 1 7

8 \Visual intuition", however, tells us that node 3 is the best one to followed by node 2, then node 1 move, FM and LA Comparison FM Gain Example LA Gain Example FM gain n1 2 1 n2 n n12 n13 n14 n15 LA gain (2,0,0) n1 1 n2 n n12 n13 n14 n15 n3 n4 2 2 n10 n5 n6 2 3 n11 n7 V 2 n8 V 1 1 n16 8 n FM gain n3 (2,0,1) 2 n4 n10 n5 n6 (2,0,1) 3 n11 n7 V 2 n8 V n16 n17 LA is better than FM, but not good enough 8

9 Idea is to get an estimate of the potential gain of moving a node the current time. at Done by computing node gains according to the probabilities of connected nets from the cutset removing To obtain these net probabilities, we need probabilities of nodes actually moved a chicken-and-egg problem! being The PRObabilistic Partitioner (PROP) V n1 n2 0.9 u V 1 n3 n

10 Compute probabilistic gains gn i (u) corresponding to each net n i 3. to u, and then its total gain g(u) = P u2n connected gn i (u) i 4. Assign probabilities using f(g(u)) PROP: Determining Node Probabilities Either 1. Compute deterministic gains of nodes according to FM, and (a) a function f(g(u)) assign node probabilities using OR (b) Assign a xed probability of, say, 0.9, to each node 2. Iterate the following 2 steps (1 or more times): 10

11 PROP: Determining Node Probabilities (Contd.) 1, 0.2 n12 4 g(1), p(1) 1, 0.2 n13 5 2, 1 1, 0.2 n14 n1 6 1 n2 n9 1, 0.2 n15 7 1, 0.2 n16 n3 2, n10 n4 n17 9 1, 0.2 n5 10 1, 0.8 n6 3 n11 2, , 0.8 n7 n8 V 2 V 1 (a) 1st Iteration.49, 0.3 n12 4 g(1), p(1).49, 0.3 n , , 1 n14 6 n1 1 n9.49, 0.3 n15 n , , 1 n16 n3 8 2 n10 n17 n , 0.4 n , 0.9 n , 1 n , 0.9 n7 n8 V 2 V 1 (b) 2nd Iteartion 11

12 PROP (Contd.) The rest of the algorithm is as follows: Pick \unlocked" node with highest g(u) among both subsets to 1. if the balance condition is met. Otherwise, pick best un- move locked node to move from the other subset Tentatively move and lock the node. Note the \immediate move 2. gain" Update probabilities of nets connected to moved node, and the 3. of its neighbors gains 4. Repeat steps 1-3 until all nodes are locked Compute the prex sums Su's of gains of all nodes u in order of 5. Actually perform swaps till node x, s.t. Sx is the highest move. If Sx > 0 new partition = swapped partition repeat steps new partition = old partition exit else 12

13 Let 2 V1 be connected to net n i, in the cutset u : i r = n i \ Vr, r = 1 2. n Node Gain Calculation: Nets In the V 2 n1 n2 0.9 u V 1 n3 n Gain gn i (u) is dened as: gn i (u) = (Probability of n i [1! 2] given that u has been moved) ;(Probability of n i [2! 1] given that u is not moved) Using conditional probabilities and some approximations gn i (u) c(n i )[ Qux2(n i 1 =fug) p(u x) ; Q uy2n i 2 p(uy)] Thus gn 1 (u) = 1 ; 0:25 = 0:75 gn 2 (u) = 1 ; 0:7 = 0:3 gn 3 (u) = ; 0:6 = 0:04. 0:64 13

14 Thus gn 4 (u) = ;(1 ; 0:14) = ;0:86 Node Gain Calculation: Net Not in V 2 n1 n2 0.9 u V 1 n3 n In this case, gn i (u) is intutively negative: gn i (u) = ;c(n i )(Prob: that n i remains in the cutset after u is moved) Again using conditional probabilities and approximations gn i (u) ;c(n i )(1 ; Q ux2n i 1 =fug p(u x)) 14

15 PROP is Not Only a Tie-Breaking Extension of FM It is a completely new gain calculation method FM FM 2 FM gain FM p(u) 0.8 FM g(u) FM

16 Need a monotonically increasing function of node gains g(u)s A caveat that works well is applying thresholding [gup (say, = 1.5), low (say, = -1)] on node gains g Probabilities of all other nodes are computed using the probability Calculating Node Probabilities function Probabilities p max Semi Gaussian Linear p min Gains g up g low 16

17 Updating p nets and d neighbors per moved node takes time (p + total is (nd). d) Reinsertion of each neighbors in the balanced binary search tree log n time total updation time is (nd log n) takes Time and Space Complexities Initial probability and gain calculations: O(nd) Choosing the best node takes constant time thus total of (n) entire pass for Thus time complexity of PROP is (nd log n) Space complexity is (nd) (net and node incidence lists) 17

18 Case 50-50% % Impr. Previous Case 45-55% % Impr. Previous Summary of Results ACM/SIGDA suite: 801 nodes, 735 nets to nodes, nets Results: Algorithm of PROP Algorithm of PROP 30 FM FM EIG MELO 27.3 LA LA3-20 Paraboli 15 WINDOW 25.9 Timing Results: Previous Algorithm Speedup of PROP 0.98 FM100-bucket 2.9 FM100-tree 0.99 LA LA WINDOW 0.73 EIG MELO 3.90 Paraboli 18

19 Presented a new approach PROP to min-cut partitioning using a gain calculation probablity-based Achieves very good cutsets compared to previous iterative as well other state-of-the-art schemes as It is quite fast only twice as slow per run than FM-tree. It is much than other recent state-of-the-art schemes faster Iterative-improvement type schemes need not get caught in a local if move decisions are sophisticated (i.e., capture global minima We will adapt and extend PROP to achieve the following: k-way partitioning Timing optimization of circuits FPGA and multiple-fpga/chip mapping of large systems Conclusions and Future Work info) expensive statistical methods are not always needed Many variations of basic PROP possible 19

VLSI Circuit Partitioning by Cluster-Removal Using Iterative Improvement Techniques

VLSI Circuit Partitioning by Cluster-Removal Using Iterative Improvement Techniques VLSI Circuit Partitioning by Cluster-Removal Using Iterative Improvement Techniques Shantanu Dutt and Wenyong Deng Department of Electrical Engineering, University of Minnesota, Minneapolis, MN 5555, USA

More information

VLSI Circuit Partitioning by Cluster-Removal Using Iterative Improvement Techniques

VLSI Circuit Partitioning by Cluster-Removal Using Iterative Improvement Techniques To appear in Proc. IEEE/ACM International Conference on CAD, 996 VLSI Circuit Partitioning by Cluster-Removal Using Iterative Improvement Techniques Shantanu Dutt and Wenyong Deng Department of Electrical

More information

Large Scale Circuit Partitioning

Large Scale Circuit Partitioning Large Scale Circuit Partitioning With Loose/Stable Net Removal And Signal Flow Based Clustering Jason Cong Honching Li Sung-Kyu Lim Dongmin Xu UCLA VLSI CAD Lab Toshiyuki Shibuya Fujitsu Lab, LTD Support

More information

Partitioning. Course contents: Readings. Kernighang-Lin partitioning heuristic Fiduccia-Mattheyses heuristic. Chapter 7.5.

Partitioning. Course contents: Readings. Kernighang-Lin partitioning heuristic Fiduccia-Mattheyses heuristic. Chapter 7.5. Course contents: Partitioning Kernighang-Lin partitioning heuristic Fiduccia-Mattheyses heuristic Readings Chapter 7.5 Partitioning 1 Basic Definitions Cell: a logic block used to build larger circuits.

More information

Unit 5A: Circuit Partitioning

Unit 5A: Circuit Partitioning Course contents: Unit 5A: Circuit Partitioning Kernighang-Lin partitioning heuristic Fiduccia-Mattheyses heuristic Simulated annealing based partitioning algorithm Readings Chapter 7.5 Unit 5A 1 Course

More information

A HYBRID MULTILEVEL/GENETIC APPROACH FOR CIRCUIT PARTITIONING. signicantly better than random or \rst-in-rst-out" tiebreaking

A HYBRID MULTILEVEL/GENETIC APPROACH FOR CIRCUIT PARTITIONING. signicantly better than random or \rst-in-rst-out tiebreaking A HYBRID MULTILEVEL/GENETIC APPROACH FOR CIRCUIT PARTITIONING Charles J. Alpert 1 Lars W. Hagen 2 Andrew B. Kahng 1 1 UCLA Computer Science Department, Los Angeles, CA 90095-1596 2 Cadence Design Systems,

More information

VLSI Physical Design: From Graph Partitioning to Timing Closure

VLSI Physical Design: From Graph Partitioning to Timing Closure Chapter Netlist and System Partitioning Original Authors: Andrew B. Kahng, Jens, Igor L. Markov, Jin Hu Chapter Netlist and System Partitioning. Introduction. Terminology. Optimization Goals. Partitioning

More information

Research Article Accounting for Recent Changes of Gain in Dealing with Ties in Iterative Methods for Circuit Partitioning

Research Article Accounting for Recent Changes of Gain in Dealing with Ties in Iterative Methods for Circuit Partitioning Discrete Dynamics in Nature and Society Volume 25, Article ID 625, 8 pages http://dxdoiorg/55/25/625 Research Article Accounting for Recent Changes of Gain in Dealing with Ties in Iterative Methods for

More information

Preclass Warmup. ESE535: Electronic Design Automation. Motivation (1) Today. Bisection Width. Motivation (2)

Preclass Warmup. ESE535: Electronic Design Automation. Motivation (1) Today. Bisection Width. Motivation (2) ESE535: Electronic Design Automation Preclass Warmup What cut size were you able to achieve? Day 4: January 28, 25 Partitioning (Intro, KLFM) 2 Partitioning why important Today Can be used as tool at many

More information

BACKEND DESIGN. Circuit Partitioning

BACKEND DESIGN. Circuit Partitioning BACKEND DESIGN Circuit Partitioning Partitioning System Design Decomposition of a complex system into smaller subsystems. Each subsystem can be designed independently. Decomposition scheme has to minimize

More information

The Partitioning Problem

The Partitioning Problem The Partitioning Problem 1. Iterative Improvement The partitioning problem is the problem of breaking a circuit into two subcircuits. Like many problems in VLSI design automation, we will solve this problem

More information

Implementation of Multi-Way Partitioning Algorithm

Implementation of Multi-Way Partitioning Algorithm Implementation of Multi-Way Partitioning Algorithm Kulpreet S. Sikand, Sandeep S. Gill, R. Chandel, and A. Chandel Abstract This paper presents a discussion of methods to solve partitioning problems and

More information

An Effective Algorithm for Multiway Hypergraph Partitioning

An Effective Algorithm for Multiway Hypergraph Partitioning An Effective Algorithm for Multiway Hypergraph Partitioning Zhizi Zhao, Lixin Tao, Yongchang Zhao 3 Concordia University, zhao_z@cs.concordia.ca, Pace University, ltao@pace.edu, 3 retired Abstract In this

More information

CIRCUIT PARTITIONING is a fundamental problem in

CIRCUIT PARTITIONING is a fundamental problem in IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 15, NO. 12, DECEMBER 1996 1533 Efficient Network Flow Based Min-Cut Balanced Partitioning Hannah Honghua Yang and D.

More information

Acyclic Multi-Way Partitioning of Boolean Networks

Acyclic Multi-Way Partitioning of Boolean Networks Acyclic Multi-Way Partitioning of Boolean Networks Jason Cong, Zheng Li, and Rajive Bagrodia Department of Computer Science University of California, Los Angeles, CA 90024 Abstract Acyclic partitioning

More information

Using Analytical Placement Techniques. Technical University of Munich, Munich, Germany. depends on the initial partitioning.

Using Analytical Placement Techniques. Technical University of Munich, Munich, Germany. depends on the initial partitioning. Partitioning Very Large Circuits Using Analytical Placement Techniques Bernhard M. Riess, Konrad Doll, and Frank M. Johannes Institute of Electronic Design Automation Technical University of Munich, 9

More information

[HaKa92] L. Hagen and A. B. Kahng, A new approach to eective circuit clustering, Proc. IEEE

[HaKa92] L. Hagen and A. B. Kahng, A new approach to eective circuit clustering, Proc. IEEE [HaKa92] L. Hagen and A. B. Kahng, A new approach to eective circuit clustering, Proc. IEEE International Conference on Computer-Aided Design, pp. 422-427, November 1992. [HaKa92b] L. Hagen and A. B.Kahng,

More information

CAD Algorithms. Circuit Partitioning

CAD Algorithms. Circuit Partitioning CAD Algorithms Partitioning Mohammad Tehranipoor ECE Department 13 October 2008 1 Circuit Partitioning Partitioning: The process of decomposing a circuit/system into smaller subcircuits/subsystems, which

More information

COMPARATIVE STUDY OF CIRCUIT PARTITIONING ALGORITHMS

COMPARATIVE STUDY OF CIRCUIT PARTITIONING ALGORITHMS COMPARATIVE STUDY OF CIRCUIT PARTITIONING ALGORITHMS Zoltan Baruch 1, Octavian Creţ 2, Kalman Pusztai 3 1 PhD, Lecturer, Technical University of Cluj-Napoca, Romania 2 Assistant, Technical University of

More information

EE244: Design Technology for Integrated Circuits and Systems Outline Lecture 9.2. Introduction to Behavioral Synthesis (cont.)

EE244: Design Technology for Integrated Circuits and Systems Outline Lecture 9.2. Introduction to Behavioral Synthesis (cont.) EE244: Design Technology for Integrated Circuits and Systems Outline Lecture 9.2 Introduction to Behavioral Synthesis (cont.) Relationship to silicon compilation Stochastic Algorithms and Learning EE244

More information

L14 - Placement and Routing

L14 - Placement and Routing L14 - Placement and Routing Ajay Joshi Massachusetts Institute of Technology RTL design flow HDL RTL Synthesis manual design Library/ module generators netlist Logic optimization a b 0 1 s d clk q netlist

More information

Multilevel Algorithms for Multi-Constraint Hypergraph Partitioning

Multilevel Algorithms for Multi-Constraint Hypergraph Partitioning Multilevel Algorithms for Multi-Constraint Hypergraph Partitioning George Karypis University of Minnesota, Department of Computer Science / Army HPC Research Center Minneapolis, MN 55455 Technical Report

More information

Genetic Algorithm for Circuit Partitioning

Genetic Algorithm for Circuit Partitioning Genetic Algorithm for Circuit Partitioning ZOLTAN BARUCH, OCTAVIAN CREŢ, KALMAN PUSZTAI Computer Science Department, Technical University of Cluj-Napoca, 26, Bariţiu St., 3400 Cluj-Napoca, Romania {Zoltan.Baruch,

More information

A Linear-Time Heuristic for Improving Network Partitions

A Linear-Time Heuristic for Improving Network Partitions A Linear-Time Heuristic for Improving Network Partitions ECE 556 Project Report Josh Brauer Introduction The Fiduccia-Matteyses min-cut heuristic provides an efficient solution to the problem of separating

More information

Introduction. A very important step in physical design cycle. It is the process of arranging a set of modules on the layout surface.

Introduction. A very important step in physical design cycle. It is the process of arranging a set of modules on the layout surface. Placement Introduction A very important step in physical design cycle. A poor placement requires larger area. Also results in performance degradation. It is the process of arranging a set of modules on

More information

A New K-Way Partitioning Approach. Bernhard M. Riess, Heiko A. Giselbrecht, and Bernd Wurth. Technical University of Munich, Munich, Germany

A New K-Way Partitioning Approach. Bernhard M. Riess, Heiko A. Giselbrecht, and Bernd Wurth. Technical University of Munich, Munich, Germany A New K-Way Partitioning Approach for Multiple Types of s Bernhard M. Riess, Heiko A. Giselbrecht, and Bernd Wurth Institute of Electronic Design Automation Technical University of Munich, 8090 Munich,

More information

A Recursive Coalescing Method for Bisecting Graphs

A Recursive Coalescing Method for Bisecting Graphs A Recursive Coalescing Method for Bisecting Graphs The Harvard community has made this article openly available. Please share how this access benefits you. Your story matters. Citation Accessed Citable

More information

Optimality, Scalability and Stability Study of Partitioning and Placement Algorithms

Optimality, Scalability and Stability Study of Partitioning and Placement Algorithms Optimality, Scalability and Stability Study of Partitioning and Placement Algorithms Jason Cong, Michail Romesis, Min Xie Computer Science Department University of California at Los Angeles Los Angeles,

More information

Shantanu Dutt. P 2, so that the total cost of the edges between P 1. and P 2 is minimized. More specically, let G(V; E)

Shantanu Dutt. P 2, so that the total cost of the edges between P 1. and P 2 is minimized. More specically, let G(V; E) New Faster Kernighan-Lin-Type Graph-Partitioning Algorithms Shantanu Dutt Department of Electrical Engineering University of Minnesota, Minneapolis, MN 55455 Abstract: In this paper we present a very ecient

More information

Estimation of Wirelength

Estimation of Wirelength Placement The process of arranging the circuit components on a layout surface. Inputs: A set of fixed modules, a netlist. Goal: Find the best position for each module on the chip according to appropriate

More information

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 16, NO. 7, JULY

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 16, NO. 7, JULY IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 16, NO. 7, JULY 1997 709 Combining Problem Reduction and Adaptive Multistart: A New Technique for Superior Iterative

More information

Multi-Objective Hypergraph Partitioning Algorithms for Cut and Maximum Subdomain Degree Minimization

Multi-Objective Hypergraph Partitioning Algorithms for Cut and Maximum Subdomain Degree Minimization IEEE TRANSACTIONS ON COMPUTER AIDED DESIGN, VOL XX, NO. XX, 2005 1 Multi-Objective Hypergraph Partitioning Algorithms for Cut and Maximum Subdomain Degree Minimization Navaratnasothie Selvakkumaran and

More information

Place and Route for FPGAs

Place and Route for FPGAs Place and Route for FPGAs 1 FPGA CAD Flow Circuit description (VHDL, schematic,...) Synthesize to logic blocks Place logic blocks in FPGA Physical design Route connections between logic blocks FPGA programming

More information

algorithms, i.e., they attempt to construct a solution piece by piece and are not able to offer a complete solution until the end. The FM algorithm, l

algorithms, i.e., they attempt to construct a solution piece by piece and are not able to offer a complete solution until the end. The FM algorithm, l The FMSAT Satisfiability Solver: Hypergraph Partitioning meets Boolean Satisfiability Arathi Ramani, Igor Markov framania, imarkovg@eecs.umich.edu February 6, 2002 Abstract This report is intended to present

More information

Hardware Software Partitioning of Multifunction Systems

Hardware Software Partitioning of Multifunction Systems Hardware Software Partitioning of Multifunction Systems Abhijit Prasad Wangqi Qiu Rabi Mahapatra Department of Computer Science Texas A&M University College Station, TX 77843-3112 Email: {abhijitp,wangqiq,rabi}@cs.tamu.edu

More information

Graph Partitioning for High-Performance Scientific Simulations. Advanced Topics Spring 2008 Prof. Robert van Engelen

Graph Partitioning for High-Performance Scientific Simulations. Advanced Topics Spring 2008 Prof. Robert van Engelen Graph Partitioning for High-Performance Scientific Simulations Advanced Topics Spring 2008 Prof. Robert van Engelen Overview Challenges for irregular meshes Modeling mesh-based computations as graphs Static

More information

Akey driver for hypergraph partitioning research in VLSI CAD has been the top-down global placement of standard-cell designs. Key attributes of real-w

Akey driver for hypergraph partitioning research in VLSI CAD has been the top-down global placement of standard-cell designs. Key attributes of real-w Iterative Partitioning with Varying Node Weights Andrew E. Caldwell, Andrew B. Kahng and Igor L. Markov UCLA Computer Science Dept., Los Angeles, CA 90095-1596 USA fcaldwell,abk,imarkovg@cs.ucla.edu. Abstract

More information

High Level Synthesis

High Level Synthesis High Level Synthesis Design Representation Intermediate representation essential for efficient processing. Input HDL behavioral descriptions translated into some canonical intermediate representation.

More information

Multilevel k-way Hypergraph Partitioning

Multilevel k-way Hypergraph Partitioning _ Multilevel k-way Hypergraph Partitioning George Karypis and Vipin Kumar fkarypis, kumarg@cs.umn.edu Department of Computer Science & Engineering, University of Minnesota, Minneapolis, MN 55455 Abstract

More information

Introduction VLSI PHYSICAL DESIGN AUTOMATION

Introduction VLSI PHYSICAL DESIGN AUTOMATION VLSI PHYSICAL DESIGN AUTOMATION PROF. INDRANIL SENGUPTA DEPARTMENT OF COMPUTER SCIENCE AND ENGINEERING Introduction Main steps in VLSI physical design 1. Partitioning and Floorplanning l 2. Placement 3.

More information

On Improving Recursive Bipartitioning-Based Placement

On Improving Recursive Bipartitioning-Based Placement Purdue University Purdue e-pubs ECE Technical Reports Electrical and Computer Engineering 12-1-2003 On Improving Recursive Bipartitioning-Based Placement Chen Li Cheng-Kok Koh Follow this and additional

More information

An Introduction to FPGA Placement. Yonghong Xu Supervisor: Dr. Khalid

An Introduction to FPGA Placement. Yonghong Xu Supervisor: Dr. Khalid RESEARCH CENTRE FOR INTEGRATED MICROSYSTEMS UNIVERSITY OF WINDSOR An Introduction to FPGA Placement Yonghong Xu Supervisor: Dr. Khalid RESEARCH CENTRE FOR INTEGRATED MICROSYSTEMS UNIVERSITY OF WINDSOR

More information

CS 140: Sparse Matrix-Vector Multiplication and Graph Partitioning

CS 140: Sparse Matrix-Vector Multiplication and Graph Partitioning CS 140: Sparse Matrix-Vector Multiplication and Graph Partitioning Parallel sparse matrix-vector product Lay out matrix and vectors by rows y(i) = sum(a(i,j)*x(j)) Only compute terms with A(i,j) 0 P0 P1

More information

A New 2-way Multi-level Partitioning Algorithm*

A New 2-way Multi-level Partitioning Algorithm* VLSI DESIGN 2000, Vol. ll, No. 3, pp. 301-310 Reprints available directly from the publisher Photocopying permitted by license only 2000 OPA (Overseas Publishers Association) N.V. Published by license

More information

Iterative Partitioning with Varying Node Weights*

Iterative Partitioning with Varying Node Weights* VLSI DESIGN 2000, Vol. 11, No. 3, pp. 249-258 Reprints available directly from the publisher Photocopying permitted by license only (C) 2000 OPA (Overseas Publishers Association) N.V. Published by license

More information

Hypergraph Partitioning With Fixed Vertices

Hypergraph Partitioning With Fixed Vertices Hypergraph Partitioning With Fixed Vertices Andrew E. Caldwell, Andrew B. Kahng and Igor L. Markov UCLA Computer Science Department, Los Angeles, CA 90095-596 Abstract We empirically assess the implications

More information

Standard FM MBC RW-ST. Benchmark Size Areas Net cut Areas Net cut Areas Net cut

Standard FM MBC RW-ST. Benchmark Size Areas Net cut Areas Net cut Areas Net cut Standard FM MBC RW-ST Benchmark Size Areas Net cut Areas Net cut Areas Net cut 19ks 2844 5501:5501 151 (1.000) 5501:5501 156 (1.033) 5501:5501 146 (0.967) bm1 882 1740:1740 65 (1.000) 1740:1740 54 (0.831)

More information

Multi-way Netlist Partitioning into Heterogeneous FPGAs and Minimization of Total Device Cost and Interconnect

Multi-way Netlist Partitioning into Heterogeneous FPGAs and Minimization of Total Device Cost and Interconnect Multi-way Netlist Partitioning into Heterogeneous FPGAs and Minimization of Total Device Cost and Interconnect Roman Kužnar, Franc Brglez 2, Baldomir Zajc Department of ECE, Tržaška 25, University of Ljubljana,

More information

Genetic Placement: Genie Algorithm Way Sern Shong ECE556 Final Project Fall 2004

Genetic Placement: Genie Algorithm Way Sern Shong ECE556 Final Project Fall 2004 Genetic Placement: Genie Algorithm Way Sern Shong ECE556 Final Project Fall 2004 Introduction Overview One of the principle problems in VLSI chip design is the layout problem. The layout problem is complex

More information

Seminar on. A Coarse-Grain Parallel Formulation of Multilevel k-way Graph Partitioning Algorithm

Seminar on. A Coarse-Grain Parallel Formulation of Multilevel k-way Graph Partitioning Algorithm Seminar on A Coarse-Grain Parallel Formulation of Multilevel k-way Graph Partitioning Algorithm Mohammad Iftakher Uddin & Mohammad Mahfuzur Rahman Matrikel Nr: 9003357 Matrikel Nr : 9003358 Masters of

More information

Incorporating the Controller Eects During Register Transfer Level. Synthesis. Champaka Ramachandran and Fadi J. Kurdahi

Incorporating the Controller Eects During Register Transfer Level. Synthesis. Champaka Ramachandran and Fadi J. Kurdahi Incorporating the Controller Eects During Register Transfer Level Synthesis Champaka Ramachandran and Fadi J. Kurdahi Department of Electrical & Computer Engineering, University of California, Irvine,

More information

ECE 5745 Complex Digital ASIC Design Topic 13: Physical Design Automation Algorithms

ECE 5745 Complex Digital ASIC Design Topic 13: Physical Design Automation Algorithms ECE 7 Complex Digital ASIC Design Topic : Physical Design Automation Algorithms Christopher atten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece7

More information

Partitioning. Partitioning Levels. Chip. Readings: Chapter 2. Circuits can exceed chip capacity. Partitioning

Partitioning. Partitioning Levels. Chip. Readings: Chapter 2. Circuits can exceed chip capacity. Partitioning Partitioning Readings: hapter 2 ircuits can exceed chip capacity Split circuits into chip-sized subcircuits Meet capacity constraints Reduce interconnect demand Meet performance requirements Partitioning

More information

Multilevel Graph Partitioning

Multilevel Graph Partitioning Multilevel Graph Partitioning George Karypis and Vipin Kumar Adapted from Jmes Demmel s slide (UC-Berkely 2009) and Wasim Mohiuddin (2011) Cover image from: Wang, Wanyi, et al. "Polygonal Clustering Analysis

More information

Hardware-Software Codesign

Hardware-Software Codesign Hardware-Software Codesign 4. System Partitioning Lothar Thiele 4-1 System Design specification system synthesis estimation SW-compilation intellectual prop. code instruction set HW-synthesis intellectual

More information

Local Unidirectional Bias for Smooth Cutsize-Delay Tradeoff in Performance-Driven Bipartitioning

Local Unidirectional Bias for Smooth Cutsize-Delay Tradeoff in Performance-Driven Bipartitioning Local Unidirectional Bias for Smooth Cutsize-Delay Tradeoff in Performance-Driven Bipartitioning Andrew B. Kahng CSE and ECE Departments UCSD La Jolla, CA 92093 abk@ucsd.edu Xu Xu CSE Department UCSD La

More information

Combining Problem Reduction and Adaptive Multi-Start: A New Technique for Superior Iterative Partitioning. Abstract

Combining Problem Reduction and Adaptive Multi-Start: A New Technique for Superior Iterative Partitioning. Abstract Combining Problem Reduction and Adaptive Multi-Start: A New Technique for Superior Iterative Partitioning Lars W. Hagen and Andrew B. Kahng Abstract VLSI netlist partitioning has been addressed chiey by

More information

A Stochastic Search Technique for Graph Bisection

A Stochastic Search Technique for Graph Bisection MITSUBISHI ELECTRIC RESEARCH LABORATORIES http://www.merl.com A Stochastic Search Technique for Graph Bisection Joe Marks, Stuart Shieber, J. Thomas Ngo TR94-18 December 1994 Abstract We present a new

More information

Multi-Resource Aware Partitioning Algorithms for FPGAs with Heterogeneous Resources

Multi-Resource Aware Partitioning Algorithms for FPGAs with Heterogeneous Resources Multi-Resource Aware Partitioning Algorithms for FPGAs with Heterogeneous Resources Navaratnasothie Selvakkumaran Abhishek Ranjan HierDesign Inc Salil Raje HierDesign Inc George Karypis Department of Computer

More information

K partitioning of Signed or Weighted Bipartite Graphs

K partitioning of Signed or Weighted Bipartite Graphs K partitioning of Signed or Weighted Bipartite Graphs Nurettin B. Omeroglu, Ismail H. Toroslu Middle East Technical University, Dep. of Computer Engineering, Ankara, Turkey {omeroglu, toroslu}@ceng.metu.edu.tr

More information

Can Recursive Bisection Alone Produce Routable Placements?

Can Recursive Bisection Alone Produce Routable Placements? Supported by Cadence Can Recursive Bisection Alone Produce Routable Placements? Andrew E. Caldwell Andrew B. Kahng Igor L. Markov http://vlsicad.cs.ucla.edu Outline l Routability and the placement context

More information

Charles J. Alpert, Member, IEEE, Jen-Hsin Huang, Member, IEEE, and Andrew B. Kahng, Associate Member, IEEE

Charles J. Alpert, Member, IEEE, Jen-Hsin Huang, Member, IEEE, and Andrew B. Kahng, Associate Member, IEEE 1 Multilevel Circuit Partitioning Charles J. Alpert, Member, IEEE, Jen-Hsin Huang, Member, IEEE, and Andrew B. Kahng, Associate Member, IEEE Abstract Many previous works [3] [7] [17] [33] [40] in partitioning

More information

Placement Algorithm for FPGA Circuits

Placement Algorithm for FPGA Circuits Placement Algorithm for FPGA Circuits ZOLTAN BARUCH, OCTAVIAN CREŢ, KALMAN PUSZTAI Computer Science Department, Technical University of Cluj-Napoca, 26, Bariţiu St., 3400 Cluj-Napoca, Romania {Zoltan.Baruch,

More information

PuLP. Complex Objective Partitioning of Small-World Networks Using Label Propagation. George M. Slota 1,2 Kamesh Madduri 2 Sivasankaran Rajamanickam 1

PuLP. Complex Objective Partitioning of Small-World Networks Using Label Propagation. George M. Slota 1,2 Kamesh Madduri 2 Sivasankaran Rajamanickam 1 PuLP Complex Objective Partitioning of Small-World Networks Using Label Propagation George M. Slota 1,2 Kamesh Madduri 2 Sivasankaran Rajamanickam 1 1 Sandia National Laboratories, 2 The Pennsylvania State

More information

Parallel Implementation of VLSI Gate Placement in CUDA

Parallel Implementation of VLSI Gate Placement in CUDA ME 759: Project Report Parallel Implementation of VLSI Gate Placement in CUDA Movers and Placers Kai Zhao Snehal Mhatre December 21, 2015 1 Table of Contents 1. Introduction...... 3 2. Problem Formulation...

More information

2 A. E. Caldwell, A. B. Kahng and I. L. Markov based, mathematical programming-based, etc. approaches, is given in a comprehensive survey [5] by Alper

2 A. E. Caldwell, A. B. Kahng and I. L. Markov based, mathematical programming-based, etc. approaches, is given in a comprehensive survey [5] by Alper Design and Implementation of Move-Based Heuristics for VLSI Hypergraph Partitioning Andrew E. Caldwell, Andrew B. Kahng and Igor L. Markov fcaldwell,abk,imarkovg@cs.ucla.edu Supported by a grant from Cadence

More information

CS 231A CA Session: Problem Set 4 Review. Kevin Chen May 13, 2016

CS 231A CA Session: Problem Set 4 Review. Kevin Chen May 13, 2016 CS 231A CA Session: Problem Set 4 Review Kevin Chen May 13, 2016 PS4 Outline Problem 1: Viewpoint estimation Problem 2: Segmentation Meanshift segmentation Normalized cut Problem 1: Viewpoint Estimation

More information

Wojciech P. Maly Department of Electrical and Computer Engineering Carnegie Mellon University 5000 Forbes Ave. Pittsburgh, PA

Wojciech P. Maly Department of Electrical and Computer Engineering Carnegie Mellon University 5000 Forbes Ave. Pittsburgh, PA Interconnect Characteristics of 2.5-D System Integration Scheme Yangdong Deng Department of Electrical and Computer Engineering Carnegie Mellon University 5000 Forbes Ave. Pittsburgh, PA 15213 412-268-5234

More information

Circuit Placement: 2000-Caldwell,Kahng,Markov; 2002-Kennings,Markov; 2006-Kennings,Vorwerk

Circuit Placement: 2000-Caldwell,Kahng,Markov; 2002-Kennings,Markov; 2006-Kennings,Vorwerk Circuit Placement: 2000-Caldwell,Kahng,Markov; 2002-Kennings,Markov; 2006-Kennings,Vorwerk Andrew A. Kennings, Univ. of Waterloo, Canada, http://gibbon.uwaterloo.ca/ akenning/ Igor L. Markov, Univ. of

More information

Tree Structure and Algorithms for Physical Design

Tree Structure and Algorithms for Physical Design Tree Structure and Algorithms for Physical Design Chung Kuan Cheng, Ronald Graham, Ilgweon Kang, Dongwon Park and Xinyuan Wang CSE and ECE Departments UC San Diego Outline: Introduction Ancestor Trees

More information

Mincut Placement with FM Partitioning featuring Terminal Propagation. Brett Wilson Lowe Dantzler

Mincut Placement with FM Partitioning featuring Terminal Propagation. Brett Wilson Lowe Dantzler Mincut Placement with FM Partitioning featuring Terminal Propagation Brett Wilson Lowe Dantzler Project Overview Perform Mincut Placement using the FM Algorithm to perform partitioning. Goals: Minimize

More information

A General Framework for Vertex Orderings, With Applications to Netlist Clustering. C. J. Alpert and A. B. Kahng

A General Framework for Vertex Orderings, With Applications to Netlist Clustering. C. J. Alpert and A. B. Kahng A General Framework for Vertex Orderings, With Applications to Netlist Clustering C. J. Alpert and A. B. Kahng UCLA Computer Science Department, Los Angeles, CA 900-96 Abstract We present a general framework

More information

Efficient FM Algorithm for VLSI Circuit Partitioning

Efficient FM Algorithm for VLSI Circuit Partitioning Efficient FM Algorithm for VLSI Circuit Partitioning M.RAJESH #1, R.MANIKANDAN #2 #1 School Of Comuting, Sastra University, Thanjavur-613401. #2 Senior Assistant Professer, School Of Comuting, Sastra University,

More information

Visual Representations for Machine Learning

Visual Representations for Machine Learning Visual Representations for Machine Learning Spectral Clustering and Channel Representations Lecture 1 Spectral Clustering: introduction and confusion Michael Felsberg Klas Nordberg The Spectral Clustering

More information

THE ISPD98 CIRCUIT BENCHMARK SUITE

THE ISPD98 CIRCUIT BENCHMARK SUITE THE ISPD98 CIRCUIT BENCHMARK SUITE Charles J. Alpert IBM Austin Research Laboratory, Austin TX 78758 alpert@austin.ibm.com Abstract From 1985-1993, the MCNC regularly introduced and maintained circuit

More information

AN ACCELERATOR FOR FPGA PLACEMENT

AN ACCELERATOR FOR FPGA PLACEMENT AN ACCELERATOR FOR FPGA PLACEMENT Pritha Banerjee and Susmita Sur-Kolay * Abstract In this paper, we propose a constructive heuristic for initial placement of a given netlist of CLBs on a FPGA, in order

More information

ECO-system: Embracing the Change in Placement

ECO-system: Embracing the Change in Placement Motivation ECO-system: Embracing the Change in Placement Jarrod A. Roy and Igor L. Markov University of Michigan at Ann Arbor Cong and Sarrafzadeh: state-of-the-art incremental placement techniques unfocused

More information

Multi-Objective Hypergraph Partitioning Algorithms for Cut and Maximum Subdomain Degree Minimization

Multi-Objective Hypergraph Partitioning Algorithms for Cut and Maximum Subdomain Degree Minimization Multi-Objective Hypergraph Partitioning Algorithms for Cut and Maximum Subdomain Degree Minimization Navaratnasothie Selvakkumaran and George Karypis Department of Computer Science / Army HPC Research

More information

Partitioning. Partition the problem into parts such that each part can be solved separately. 1/22

Partitioning. Partition the problem into parts such that each part can be solved separately. 1/22 Partitioning Partition the problem into parts such that each part can be solved separately. 1/22 Partitioning Partition the problem into parts such that each part can be solved separately. At the end the

More information

Fast Timing-driven Partitioning-based Placement for Island Style FPGAs

Fast Timing-driven Partitioning-based Placement for Island Style FPGAs .1 Fast Timing-driven Partitioning-based Placement for Island Style FPGAs Pongstorn Maidee Cristinel Ababei Kia Bazargan Electrical and Computer Engineering Department University of Minnesota, Minneapolis,

More information

Requirements of Load Balancing Algorithm

Requirements of Load Balancing Algorithm LOAD BALANCING Programs and algorithms as graphs Geometric Partitioning Graph Partitioning Recursive Graph Bisection partitioning Recursive Spectral Bisection Multilevel Graph partitioning Hypergraph Partitioning

More information

Research Incubator: Combinatorial Optimization. Dr. Lixin Tao December 9, 2003

Research Incubator: Combinatorial Optimization. Dr. Lixin Tao December 9, 2003 Research Incubator: Combinatorial Optimization Dr. Lixin Tao December 9, 23 Content General Nature of Research on Combinatorial Optimization Problem Identification and Abstraction Problem Properties and

More information

PARTITIONING-BASED STANDARD-CELL GLOBAL PLACEMENT. fv1;v2;:::;v ng and E = fe1;e2;:::;emg, then vertices correspond

PARTITIONING-BASED STANDARD-CELL GLOBAL PLACEMENT. fv1;v2;:::;v ng and E = fe1;e2;:::;emg, then vertices correspond PARTITIONING-BASED STANDARD-CELL GLOBAL PLACEMENT WITH AN EXACT OBJECTIVE Dennis J.-H. Huang y and Andrew B. Kahng yz y UCLA Computer Science Dept., Los Angeles, CA 90095-1596 USA z Cadence Design Systems,

More information

Faster Placer for Island-style FPGAs

Faster Placer for Island-style FPGAs Faster Placer for Island-style FPGAs Pritha Banerjee and Susmita Sur-Kolay Advanced Computing and Microelectronics Unit Indian Statistical Institute 0 B. T. Road, Kolkata, India email:{pritha r, ssk}@isical.ac.in

More information

Binary Decision Diagram with Minimum Expected Path Length

Binary Decision Diagram with Minimum Expected Path Length Binary Decision Diagram with Minimum Expected Path Length Yi-Yu Liu Kuo-Hua Wang TingTing Hwang C. L. Liu Department of Computer Science, National Tsing Hua University, Hsinchu 300, Taiwan Dept. of Computer

More information

Lesson 2 7 Graph Partitioning

Lesson 2 7 Graph Partitioning Lesson 2 7 Graph Partitioning The Graph Partitioning Problem Look at the problem from a different angle: Let s multiply a sparse matrix A by a vector X. Recall the duality between matrices and graphs:

More information

CAD Flow for FPGAs Introduction

CAD Flow for FPGAs Introduction CAD Flow for FPGAs Introduction What is EDA? o EDA Electronic Design Automation or (CAD) o Methodologies, algorithms and tools, which assist and automatethe design, verification, and testing of electronic

More information

Local Search and Optimization Chapter 4. Mausam (Based on slides of Padhraic Smyth, Stuart Russell, Rao Kambhampati, Raj Rao, Dan Weld )

Local Search and Optimization Chapter 4. Mausam (Based on slides of Padhraic Smyth, Stuart Russell, Rao Kambhampati, Raj Rao, Dan Weld ) Local Search and Optimization Chapter 4 Mausam (Based on slides of Padhraic Smyth, Stuart Russell, Rao Kambhampati, Raj Rao, Dan Weld ) 1 2 Outline Local search techniques and optimization Hill-climbing

More information

Local Search and Optimization Chapter 4. Mausam (Based on slides of Padhraic Smyth, Stuart Russell, Rao Kambhampati, Raj Rao, Dan Weld )

Local Search and Optimization Chapter 4. Mausam (Based on slides of Padhraic Smyth, Stuart Russell, Rao Kambhampati, Raj Rao, Dan Weld ) Local Search and Optimization Chapter 4 Mausam (Based on slides of Padhraic Smyth, Stuart Russell, Rao Kambhampati, Raj Rao, Dan Weld ) 1 2 Outline Local search techniques and optimization Hill-climbing

More information

Problem Definition. Clustering nonlinearly separable data:

Problem Definition. Clustering nonlinearly separable data: Outlines Weighted Graph Cuts without Eigenvectors: A Multilevel Approach (PAMI 2007) User-Guided Large Attributed Graph Clustering with Multiple Sparse Annotations (PAKDD 2016) Problem Definition Clustering

More information

1 Introduction Data format converters (DFCs) are used to permute the data from one format to another in signal processing and image processing applica

1 Introduction Data format converters (DFCs) are used to permute the data from one format to another in signal processing and image processing applica A New Register Allocation Scheme for Low Power Data Format Converters Kala Srivatsan, Chaitali Chakrabarti Lori E. Lucke Department of Electrical Engineering Minnetronix, Inc. Arizona State University

More information

Abacus: Fast Legalization of Standard Cell Circuits with Minimal Movement

Abacus: Fast Legalization of Standard Cell Circuits with Minimal Movement EDA Institute for Electronic Design Automation Prof. Ulf Schlichtmann Abacus: Fast Legalization of Standard Cell Circuits with Minimal Movement Peter Spindler, Ulf Schlichtmann and Frank M. Johannes Technische

More information

N-Queens problem. Administrative. Local Search

N-Queens problem. Administrative. Local Search Local Search CS151 David Kauchak Fall 2010 http://www.youtube.com/watch?v=4pcl6-mjrnk Some material borrowed from: Sara Owsley Sood and others Administrative N-Queens problem Assign 1 grading Assign 2

More information

Parallelizing FPGA Technology Mapping using GPUs. Doris Chen Deshanand Singh Aug 31 st, 2010

Parallelizing FPGA Technology Mapping using GPUs. Doris Chen Deshanand Singh Aug 31 st, 2010 Parallelizing FPGA Technology Mapping using GPUs Doris Chen Deshanand Singh Aug 31 st, 2010 Motivation: Compile Time In last 12 years: 110x increase in FPGA Logic, 23x increase in CPU speed, 4.8x gap Question:

More information

Key terms and concepts: Divide and conquer system partitioning floorplanning chip planning placement routing global routing detailed routing

Key terms and concepts: Divide and conquer system partitioning floorplanning chip planning placement routing global routing detailed routing SICs...THE COURSE ( WEEK) SIC CONSTRUCTION Key terms and concepts: microelectronic system (or system on a chip) is the town and SICs (or system blocks) are the buildings System partitioning corresponds

More information

Variation Tolerant Buffered Clock Network Synthesis with Cross Links

Variation Tolerant Buffered Clock Network Synthesis with Cross Links Variation Tolerant Buffered Clock Network Synthesis with Cross Links Anand Rajaram David Z. Pan Dept. of ECE, UT-Austin Texas Instruments, Dallas Sponsored by SRC and IBM Faculty Award 1 Presentation Outline

More information

Slicing Floorplan With Clustering Constraint

Slicing Floorplan With Clustering Constraint 652 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 22, NO. 5, MAY 2003 the cluster(v) if the area of cluster(v) [ group(u; w) does not exceed the area constraint M.

More information

Local Search Approximation Algorithms for the Complement of the Min-k-Cut Problems

Local Search Approximation Algorithms for the Complement of the Min-k-Cut Problems Local Search Approximation Algorithms for the Complement of the Min-k-Cut Problems Wenxing Zhu, Chuanyin Guo Center for Discrete Mathematics and Theoretical Computer Science, Fuzhou University, Fuzhou

More information

Don't Cares in Multi-Level Network Optimization. Hamid Savoj. Abstract

Don't Cares in Multi-Level Network Optimization. Hamid Savoj. Abstract Don't Cares in Multi-Level Network Optimization Hamid Savoj University of California Berkeley, California Department of Electrical Engineering and Computer Sciences Abstract An important factor in the

More information

CprE 583 Reconfigurable Computing

CprE 583 Reconfigurable Computing CprE / ComS 583 Reconfigurable Computing Prof. Joseph Zambreno Department of Electrical and Computer Engineering Iowa State University Lecture #9 Logic Emulation Technology Recap FPGA-Based Router (FPX)

More information