An Analytic Model for Embedded Machine Vision: Architecture and Performance Exploration

Size: px
Start display at page:

Download "An Analytic Model for Embedded Machine Vision: Architecture and Performance Exploration"

Transcription

1 419 An Analytic Model or Embedded Machine Vision: Architecture and Perormance Exploration Chan Kit Wai, Prahlad Vadakkepat, Tan Kok Kiong Department o Electrical and Computer Engineering, 4 Engineering Drive 3, National University o Singapore, Singapore {g , prahlad}@nus.edu.sg Abstract This paper represents a model to analyze the perormance o an embedded machine vision system. Such an application is computationally expensive and oten is a challenging problem in the ield o embedded systems. A model is derived to analyze the perormance. It predicts system perormance with minimal eorts and costs over a wide range o processor architectures. Speciically, image segmentation is evaluated with the microprocessor technology. In the same way, a custom logic is designed with the help o the model. Keywords: Analytic Model, Embedded Machine vision, Custom vision architecture 1 Introduction Image processing is oten associated with huge amount o data processing [?]. Such computational requirements oten pose a challenging problem in the ield o embedded systems. Most o the embedded systems have limitations o computational power, low data transer rate, very tight memory budget, size constraints and most importantly cost. Additionally, low energy requirement has become an important actor in recent years [?] [?]. For battery operated devices, it is preerable to have low power consumption. Such concern is important in order to reduce the recharging requency. The memory constraint in embedded technology also plays an major obstacle. Vision algorithms demand high memory requirements compared to other applications, on the contrary, most embedded systems have tight memory budget. Such limitations orbid the implementation o many vision applications. Lastly, the speed o executing an image processing task must be comparable to the speed o acquiring the image (i.e. rame image rate) [?]. As such, the limitation o computing power in embedded systems inhibit the task to be completed on time. Nevertheless, many o the vision systems have careully tackled to meet the computational time requirements. Perhaps reducing the image resolution is one the the easiest methods to handle the real time constraints. Examples o such systems are ound in eyebot [?], CMUCam [?] and Khepera vision turret [?]. Other methods include running the algorithms on a high speed computer, exploring computationally eicient algorithms and exploring various hardware architectures. While several articles in the literature discuss about complex vision algorithms, this paper ocuses on the importance o the vision system s perormance. However it does not discuss the compiler techniques or memory and computational optimization. Rather, this paper introduces a model to estimate the perormance requirements or a given task and speciications. In literature, there are three basic techniques or perormance evaluation; namely measurement, simulation and analytic modelling [?] [?]. An analytic model is oten used to predict perormance. It can evaluate the perormance with minimal eorts and costs over a wide range o choices in the system parameters and conigurations [?]. For various processor architectures, the key resources and workload requirements can be analytically modelled with suicient realism to provide insight into the bottlenecks and key parameters aecting the system perormance [?]. Nevertheless, the model ails i it is required to model the vision system in great details [?]. This paper represents a model to analyze the perormance o machine vision in embedded environments. It also discusses about the limitations o the general purpose processors and the possibilities o alternative processors. This paper is organized as ollows: Section 2 describes the analytic model or image processing. Section 3 discusses image segmentation using microprocessor. Cus-

2 420 tom architecture is provided in Section 4 and Section 5 concludes the work. 2 Analytic Model or Image Processing The data processing is represented using a pyramid architecture as shown in Figure??. The bottom level o the pyramid represents the data volume to be processed. The top level o the pyramid represents abstract inormation derived rom the image. The bottom level comprises o raw pixels acquired rom source image. Intermediate levels are typically pre-processing, segmentation, eature extraction and classiication. The top level produces the abstract data to the rest o the system. Level 1 Level 2 Level 4 Level Perormance Parameters The speciications generally reer to the response time, rame rate, image resolution, pixel transer rate and the computational task. The perormance requirements o a system is reerred to the number o processing units, the memory size and clock speed to meet the speciications provided. The deinitions used in the model to evaluate the system perormance parameters are as ollows: λ = Arrival rate o pixels r = response time w = wait time s = service time s p = service timeperpixel n = number o jobs in system n q = number o jobs in queue n s = number o jobs in service i j = population size(inpixels) CPI = cycles per instruction Queueing theory plays a very important role in analytical modelling [?]. From the Little s Law in queueing theory [?], equation (??) to (??) are used as the undamental ormulae to calculate the required vision system requirements. These requirements include the number o processors, processor s computing speed, instruction sets, arithmetic units (ALU) and memory size o the system. Level 0 Figure 1: Data processing at dierent levels The lowest level vision task oten consumes the most computing resources. Low-level task consists o pixelbased transormations such as iltering, edge detection and segmentation processes. These tasks are characterized by a large amount o data (pixels), small neighbourhood operators and relatively simple structure operations (e.g multiply, add or compare) [?]. On the other hand, high level tasks are more dynamic in nature. They are more decision oriented and do not have a repetitive execution o a set o algorithm. Hence, the model discussed in this paper is applicable speciically or low-level repetitive tasks. An analytic model is derived to provide a mathematical description o the vision system. Such approach is considered being ar less time-consuming and more lexible compared to simulation based methods. The model can be used to describe a dierent level o abstraction. The model described in Section 2.2 and 3.2 is used to estimate the processor clock speed requirements as well as exploring custom architecture or such application. The mathematical model helps to represent computing resources and the speed o the hardware. Such model allows a simple and ast calculation o dierent processor s response time. Population size (n * m) pixels Queue Figure 2: Queue model o vision system 2.2 Queuing Theory Service Unit Service Unit Service Unit The system can be modelled using the queueing analysis as illustrated in igure??. The population size, queue size and service centers reer respectively to the number o pixels, memory size, processing units in a vision system. n = λr, (1) n q = λw, (2) n s = λs, (3) where λ = a T, (4)

3 421 r = w+s, n = n q + n s, (5) 3 Image Segmentation using Microprocessor where a is the number o jobs arrived, in time T. The basic approach is to identiy whether the system is able to handle a given load, in another words, the stability condition is satiied (??). λ < mµ, (6) where m is the number o service units and µ = 1/s is the service rate o each service unit. I the number o jobs in a system grows continuously and becomes ininite, the system is said to be unstable. For stability, λ (mean arrival rate) should be less than mµ (mean service rate) [?]. To ind the stability condition, λ and s are required. As a result, s (service time or the image) and s p (service time per pixel) can be calculated as shown in equations (??) and (??) respectively. s = s p (i j), (7) s p = n ipp n cpi t clk, g=g max s p = g=1 [n ipp (g) n cpi (g)] t clk, (8) where n ipp is the number o instructions per pixel and n cpi is the number o cycles per instruction. Both these parameters are a unction o g, a group o instructions set with the same CPI. An example o such grouping is shown in Table 1. Table 1: Instruction grouping g instruction set CPI 1 MOVF 1 SUBWF 1 2 BTFSC 2 GOTO 2 The model decomposes the system into algorithms, instruction sets and instruction cycles. Instructions with dierent number o CPIs are grouped together. Thus, the total number o cycles in the program can be easily calculated. Finally, with all the input parameters, it is possible to estimate the required processing power, in particular, the processing requency. However, this model does not consider several computational overheads, like initialization routine, loops and error checking, etc. The ollowing sections discuss the usage o such model or image segmentation in two (2) dierent types o processing architectures; namely microprocessor and custom architecture. The segmentation process partitions an image into meaningul regions [?]. The nature o this process involves scanning o each pixels. A simple experimental setup or color segmentation is tested in Visual C/C++ environment. The program reads in the raw image data rom a Portable Pixel Map (PPM) ile, converts the Red, Green, Blue (RGB) primaries to the Hue, Saturation, Value (HSV) color space, perorms color segmentation and converts back to RGB ormat. Finally, it writes the image into a destination PPM ile or viewing. Figure?? illustrates the process. Acquire image rom ile Transorm image ormat rom RGB-> HSV Image Segmentation process Transorm image ormat rom HSV-> RGB Write image to ile Figure 3: Experimental setup 3.1 An Algorithmic Approach For instance, a real-time vision system with a resolution o 640x480 is required to be processed at a rate o 30 ps (rames per second). The analytical model is used to estimate the perormance o the color segmentation process. A reerence color is selected and the distance between the two color points p i = [H i,s i,v i ] and p re = [H re,s re,v re ] is given as, { Hi H d H = re i H i H re < 2π 2π H i H re otherwise d S = S i S re, d V = V i V re. (9) The segmentation process is as ollows,,

4 422 1 i (d H < d Hre and d S < d Sre w = n q s p. (14) I(i, j) = and d V < d Vre ), (10) 0 otherwise Substituting equations (??) and (??) into equation (??) gives, where d Hre, d Sre and d Vre are the acceptable sensitivity distances. From Equation (??), the image is seg- s p = r n qs p r, s p =. (15) i j i j+ n q mented into a binary image o 1 s and 0 s. The microprocessor technology is oten used or image segmentation process. It requires several load, store and branch operation to perorm data manipulation. Figure?? shows the implementations in C language and the equivalent assembly instruction code. The results obtained are shown in Figure??. C program or ( i =0 ; i < imax ; i++ ) or ( j = 0 ; j < jmax ; j++ ) { i ( ( H1 < h [ i ] [ j ] < H2 ) && ( S1 < s [ i ] [ j ] < S2 ) && ( V1 < v [ i ] [ j ] < V2) ) { v [ i ] [ j ] = 0 ; // black } else { v [ i ] [ j ] = 100; s [ i ] [ j ] = 0; } } Assembly language mov subw btsc goto mov mov mov subw btsc goto subw btsc goto Figure 4: Assembly code representation o C program 3.2 Estimating the Required Processing Speed In this example, it is desired to estimate the required processing speed. The desired response time is given to be 1/30 ps = ms and the image resolution is 640x480 pixels. Equations (??) to (??) are used as the model or calculations. As such, rom Figure??, n ipp (g = 1) is ound to be 17 with one CPI, and n ipp (g = 2) is 8, taking the assumption o branchalways-taken with a CPI o two. Subsequently, two extreme cases o n q1 =0 (0 pixels in queues) and n q2 =640x480 (max no. o pixels in queue) are used to determine the t clk. For both cases, t clk1 (n q1 ) is 3.28ns (304.8 Mhz) and t clk2 (n q2 ) is 1.64ns ( Mhz). From the calculations, it is noted that, a simple image segmentation operation requires a very high clock requency. The perormance o such a system greatly depends on the computing speed o the processor. The segmentation algorithm does not actually map to appropriate hardware resource to achieve eiciency. Most o computing time is spent on overheads instructions rather than or the actual processing o data. Hence, it is reported that most computationally complex applications spend 90% o their execution time on only 10% o their code [?]. 4 Customized Architecture or Image Segmentation (a) (b) Figure 5: (a) original image (b) segmented image using HSV color space From equation (??), the processing speed or perorming the segmentation process is estimated. t clk = where, g=g max g=1 s p [n ipp (g) n cpi (g)], (11) s p = s i j, (12) s = r w, (13) The mathematical model helps to increase response time by choosing the right hardware conigurations. The parameters corresponding to the hardware resources are identiied to improve system perormance. For instance, rom equation (??), m, the number o service units represents the number o processors servicing the jobs. I the system does not meet the condition speciied in (??), m is increased to bring the system rom an unstable condition to a stable condition. This relects in the actual implementation o increasing the number o processors in the system. Another example is observed rom equation (??). The parameters n ipp and n cpi can be reduced by selecting an appropriate instruction-set-architecture (ISA). A suitable processor ISA should contain an instruction to compare two registers and branch to a speciic location within a single cycle. Consequently, a lower processor clock speed can be used as a result o reducing n ipp.

5 Achieving One Pixel per Cycle To achieve a very eicient system, the sotware algorithm and hardware architecture must match well. This can be achieved or algorithms with high degree o regularity that are identiied to exploit parallelism. With the model as a reerence, these operations are mapped into custom unctional units to achieve a higher perormance compared to the ixed instruction set architecture. In ideal case, one can set g=g max[n g=1 ipp (g) n cpi (g)] = 1. Eectively, rom (??), t clk1 (n q1 )=108ns; which shows the reduction o clock requency by 96.95%. Such perormance with low clock requency is achieved by customizing a dedicated hardware resource. The customized architecture allows direct computation instead o conventional load store operations. Such structure is able to compute a pixel in a single instruction within a single clock cycle. To explore urther, parallel processing o multiple pixels is also possible, considering that the pixels are transerred parallelly. Otherwise, queueing o pixels is necessary, which leads to undesirable idle time. Hence, sequential processing o a single pixel provides a better solution. Figure 8: Timing diagram o segmentation process an output pixel being generated at the ollowing rising edge. ppm input ile testbench ppm output ile verilog code Figure 6: Simulation conigurations Rin<7:0> Rout<7:0> Gin<7:0> Gout<7:0> Bin<7:0> Bout<7:0> Tclk dataready Figure 9: RTL schematic or segmentation The verilog code is synthesized and the RTL schematic is shown in Figure??. The resulted schematic is simple, consisting o just a ew comparators and lip-lops. It is noted that the architecture does not really need complex logic circuits to achieve the desired perormance. Figure 7: Block diagram o image segmentation processor The customized hardware architecture is described using the Hardware Description Language (HDL); speciically verilog HDL. The simulation conigurations are shown in Figure??. Model Sim (a verilog code simulator program) is used together with the test bench, the verilog code, and the ppm image iles to simulate the vision system. The block diagram and the RTL schematic o the design are shown in Figures?? and?? respectively. It is noted that the RGB color space domain is used instead o the HSV or reasons o simplicity. The simulation results are obtained rom the waveorm viewer in ModelSim. Figure?? shows the timing diagram o a pixel being received at t clk s rising edge and (a) (b) Figure 10: (a) original image (b) segmented image using RGB color space The image is segmented into binary image, where logic 1 is represented by RGB = (0x, 0x, 0x) and logic 0 is represented by RGB = (0x01, 0x01, 0x01). The RGB values are written into a ppm image ile and the results are shown in Figure??. There are several ways to realize the implementation o custom logic. However, the most common technolo-

6 424 gies are to abricate it in ASIC (Application Speciic IC) or FPGAs (Field Programmable Gate Array). Particularly, FPGAs oer certain advantages over ASIC. It provides the beneits o customized hardware architecture and at the same time allowing dynamic reprogrammability. It is an important characteristic which allows to meet the changing requirements. 5 Conclusion The image processing analytic model is derived to estimate the various perormance parameters associated with the vision system. Such an approach is considered being ar less time-consuming and more lexible compared to simulation based approaches. The derived model allows system designers to estimate the system responses o given speciications. It helps to compare dierent processor architectures without actual implementation. For instance, the estimation o the clock requency, response time and delay time is easier. It can be extended to calculate the amount o energy consumed. The model provides the design space exploration to achieve the desired perormance. To reduce the clock requency, it is needed to reduce the number o instructions. Such hints assist in the design o the custom architecture. The results show that the custom architecture is able to achieve a reduction o 96.95% in clock requency with respect to the ixed instruction set architecture. In conclusion, the possibilities o such an architecture can be realized in a reconigurable abric o FPGA. Reerences [1] D. Crookes. Architectures or high perormance image processing: The uture. In Journal o Systems Architecture, volume 45, pages , [2] G.Stitt, B.Grattan, J.Villarreal, and Frank Vahid. Using on-chip conigurable logic to reduce embedded system sotware energy. In IEEE Symposium on Field-Programmable Custom Computing Machines, volume 10, pages , [5] Thomas Braunl. Improv amd eyebot real-time vision on-board mobile robots. In IEEE, Mechatronics and Machine Vision in Practice, volume 4, pages , [6] A. Rowe, C. Rosenberg, and I. Nourbakhsh. A low cost embedded color vision system. In IROS 2002 conerence, [7] Khepera vision turret. Web page. visited on June [8] K.Kant. Introduction to Computer System Perormance Evaluation. Mc Graw-Hill, Singapore, [9] David J. Lilja. Measuring Computer Perormance: A practitioner s guide. Cambridge University Press, United Kingdom, [10] Hisashi Kobayashi. Modeling and Analysis: An introduction to System Perormance Evaluation Methodology. Addison-Wesley, Philippines, [11] P.Heidelberger and S.S.Lavenberg. Computer perormance evaluation methodology. In IEEE Transactions on Computers, volume C-33, pages , [12] N. K. Ratha and A. K. Jain. Computer vision algorithms on reconigurable logic arrays. In IEEE Transactions, Parallel and Distributed Systems, volume 10, pages 29 43, [13] Raj Jain. The Art o Computer Systems Perormance Analysis: Techniques or Experimental Design, Measurement, Simulation, and Modeling. Wiley- Interscience, New York, [14] G. J. Awcock and R. Thomas. Applied Image Processing Book. McGraw-Hill, USA, [15] J. L. Hennessy and D. A. Patterson. Computer Architecture: A quantitative approach. Morgan Kaumann, Cali., [3] T.Simunic, L.Benini, and G.D.Micheli. Energyeicient design o battery-powered embedded systems. In IEEE Transactions on Very Large Scale Integration (VLSI) Systems, volume 9, [4] R. T. Chin and C. R. Dyer. Model-based recognition in robot vision. In ACM COMPUTING SURVEYS, volume 18, pages , 1986.

2. Recommended Design Flow

2. Recommended Design Flow 2. Recommended Design Flow This chapter describes the Altera-recommended design low or successully implementing external memory interaces in Altera devices. Altera recommends that you create an example

More information

10. SOPC Builder Component Development Walkthrough

10. SOPC Builder Component Development Walkthrough 10. SOPC Builder Component Development Walkthrough QII54007-9.0.0 Introduction This chapter describes the parts o a custom SOPC Builder component and guides you through the process o creating an example

More information

2. Design Planning with the Quartus II Software

2. Design Planning with the Quartus II Software November 2013 QII51016-13.1.0 2. Design Planning with the Quartus II Sotware QII51016-13.1.0 This chapter discusses key FPGA design planning considerations, provides recommendations, and describes various

More information

13. Power Optimization

13. Power Optimization 13. Power Optimization May 2013 QII52016-13.0.0 QII52016-13.0.0 The Quartus II sotware oers power-driven compilation to ully optimize device power consumption. Power-driven compilation ocuses on reducing

More information

DSP Design Flow User Guide

DSP Design Flow User Guide DSP Design Flow User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Document Date: June 2009 Copyright 2009 Altera Corporation. All rights reserved. Altera, The Programmable Solutions Company,

More information

Using VCS with the Quartus II Software

Using VCS with the Quartus II Software Using VCS with the Quartus II Sotware December 2002, ver. 1.0 Application Note 239 Introduction As the design complexity o FPGAs continues to rise, veriication engineers are inding it increasingly diicult

More information

An Approach for Performance Evaluation of Batch-sequential and Parallel Architectural Styles

An Approach for Performance Evaluation of Batch-sequential and Parallel Architectural Styles An Approach or Perormance Evaluation o Batch-sequential and Parallel Architectural Styles Golnaz Aghaee Ghazvini MSc student, Young Research Club, Njaabad Branch, Esahan, Iran Aghaee.golnaz@sco.iaun.ac.ir

More information

9. Reviewing Printed Circuit Board Schematics with the Quartus II Software

9. Reviewing Printed Circuit Board Schematics with the Quartus II Software November 2012 QII52019-12.1.0 9. Reviewing Printed Circuit Board Schematics with the Quartus II Sotware QII52019-12.1.0 This chapter provides guidelines or reviewing printed circuit board (PCB) schematics

More information

A fast and area-efficient FPGA-based architecture for high accuracy logarithm approximation

A fast and area-efficient FPGA-based architecture for high accuracy logarithm approximation A ast and area-eicient FPGA-based architecture or high accuracy logarithm approximation Dimitris Bariamis, Dimitris Maroulis, Dimitris K. Iakovidis Department o Inormatics and Telecommunications University

More information

Section II. Nios II Software Development

Section II. Nios II Software Development Section II. Nios II Sotware Development This section o the Embedded Design Handbook describes how to most eectively use the Altera tools or embedded system sotware development, and recommends design styles

More information

AUTOMATING THE DESIGN OF SOUND SYNTHESIS TECHNIQUES USING EVOLUTIONARY METHODS. Ricardo A. Garcia *

AUTOMATING THE DESIGN OF SOUND SYNTHESIS TECHNIQUES USING EVOLUTIONARY METHODS. Ricardo A. Garcia * AUTOMATING THE DESIGN OF SOUND SYNTHESIS TECHNIQUES USING EVOLUTIONARY METHODS Ricardo A. Garcia * MIT Media Lab Machine Listening Group 20 Ames St., E5-49, Cambridge, MA 0239 rago@media.mit.edu ABSTRACT

More information

Automatic Video Segmentation for Czech TV Broadcast Transcription

Automatic Video Segmentation for Czech TV Broadcast Transcription Automatic Video Segmentation or Czech TV Broadcast Transcription Jose Chaloupka Laboratory o Computer Speech Processing, Institute o Inormation Technology and Electronics Technical University o Liberec

More information

Classification Method for Colored Natural Textures Using Gabor Filtering

Classification Method for Colored Natural Textures Using Gabor Filtering Classiication Method or Colored Natural Textures Using Gabor Filtering Leena Lepistö 1, Iivari Kunttu 1, Jorma Autio 2, and Ari Visa 1, 1 Tampere University o Technology Institute o Signal Processing P.

More information

MATRIX ALGORITHM OF SOLVING GRAPH CUTTING PROBLEM

MATRIX ALGORITHM OF SOLVING GRAPH CUTTING PROBLEM UDC 681.3.06 MATRIX ALGORITHM OF SOLVING GRAPH CUTTING PROBLEM V.K. Pogrebnoy TPU Institute «Cybernetic centre» E-mail: vk@ad.cctpu.edu.ru Matrix algorithm o solving graph cutting problem has been suggested.

More information

2. Getting Started with the Graphical User Interface

2. Getting Started with the Graphical User Interface February 2011 NII52017-10.1.0 2. Getting Started with the Graphical User Interace NII52017-10.1.0 The Nios II Sotware Build Tools (SBT) or Eclipse is a set o plugins based on the popular Eclipse ramework

More information

A Proposed Approach for Solving Rough Bi-Level. Programming Problems by Genetic Algorithm

A Proposed Approach for Solving Rough Bi-Level. Programming Problems by Genetic Algorithm Int J Contemp Math Sciences, Vol 6, 0, no 0, 45 465 A Proposed Approach or Solving Rough Bi-Level Programming Problems by Genetic Algorithm M S Osman Department o Basic Science, Higher Technological Institute

More information

Using the Nios II Configuration Controller Reference Designs

Using the Nios II Configuration Controller Reference Designs Using the Nios II Controller Reerence Designs AN-346-1.2 March 2009 Introduction This application note describes coniguration controller reerence designs or Nios II systems using Altera Stratix II, Cyclone

More information

Reducing Pin and Area Overhead in Fault-Tolerant FPGAbased

Reducing Pin and Area Overhead in Fault-Tolerant FPGAbased Reducing Pin and Area Overhead in Fault-Tolerant FPGAbased Designs Fernanda Lima Luigi Carro Ricardo Reis Universidade Federal do Rio Grande do Sul PPGC - Instituto de Inormática - DELET Caia Postal: 15064,

More information

13. Power Management in Stratix IV Devices

13. Power Management in Stratix IV Devices February 2011 SIV51013-3.2 13. Power Management in Stratix IV Devices SIV51013-3.2 This chapter describes power management in Stratix IV devices. Stratix IV devices oer programmable power technology options

More information

An Efficient Configuration Methodology for Time-Division Multiplexed Single Resources

An Efficient Configuration Methodology for Time-Division Multiplexed Single Resources An Eicient Coniguration Methodology or Time-Division Multiplexed Single Resources Benny Akesson 1, Anna Minaeva 1, Přemysl Šůcha 1, Andrew Nelson 2 and Zdeněk Hanzálek 1 1 Czech Technical University in

More information

AN 608: HST Jitter and BER Estimator Tool for Stratix IV GX and GT Devices

AN 608: HST Jitter and BER Estimator Tool for Stratix IV GX and GT Devices AN 608: HST Jitter and BER Estimator Tool or Stratix IV GX and GT Devices July 2010 AN-608-1.0 The high-speed communication link design toolkit (HST) jitter and bit error rate (BER) estimator tool is a

More information

Section III. Advanced Programming Topics

Section III. Advanced Programming Topics Section III. Advanced Programming Topics This section provides inormation about several advanced embedded programming topics. It includes the ollowing chapters: Chapter 8, Exception Handling Chapter 9,

More information

Design of a Pipelined 32 Bit MIPS Processor with Floating Point Unit

Design of a Pipelined 32 Bit MIPS Processor with Floating Point Unit Design of a Pipelined 32 Bit MIPS Processor with Floating Point Unit P Ajith Kumar 1, M Vijaya Lakshmi 2 P.G. Student, Department of Electronics and Communication Engineering, St.Martin s Engineering College,

More information

2. Processor Architecture

2. Processor Architecture 2. Processor Architecture February 2014 NII51002-13.1.0 NII51002-13.1.0 This chapter describes the hardware structure o the Nios II processor, including a discussion o all the unctional units o the Nios

More information

Research on Image Splicing Based on Weighted POISSON Fusion

Research on Image Splicing Based on Weighted POISSON Fusion Research on Image Splicing Based on Weighted POISSO Fusion Dan Li, Ling Yuan*, Song Hu, Zeqi Wang School o Computer Science & Technology HuaZhong University o Science & Technology Wuhan, 430074, China

More information

First Video Streaming Experiments on a Time Driven Priority Network

First Video Streaming Experiments on a Time Driven Priority Network First Video Streaming Experiments on a Driven Priority Network Mario Baldi and Guido Marchetto Department o Control and Computer Engineering Politecnico di Torino (Technical University o Torino) Abstract

More information

On the Double-Faced Nature of P2P Traffic

On the Double-Faced Nature of P2P Traffic On the Double-Faced Nature o P2P Traic Raaele Bolla, Marco Canini, Riccardo Rapuzzi, Michele Sciuto DIST - Department o Communication, Computer and System Sciences, University o Genoa Via Opera Pia 13,

More information

7. High-Speed Differential Interfaces in the Cyclone III Device Family

7. High-Speed Differential Interfaces in the Cyclone III Device Family December 2011 CIII51008-4.0 7. High-Speed Dierential Interaces in the Cyclone III Device Family CIII51008-4.0 This chapter describes the high-speed dierential I/O eatures and resources in the Cyclone III

More information

6. I/O Features in the Cyclone III Device Family

6. I/O Features in the Cyclone III Device Family July 2012 CIII51007-3.4 6. I/O Features in the Cyclone III Device Family CIII51007-3.4 This chapter describes the I/O eatures oered in the Cyclone III device amily (Cyclone III and Cyclone III LS devices).

More information

Architecture Techniques

Architecture Techniques EE29A Spring 2008 Special Topics in Circuits and Signal Processing Lecture 3 Architecture Techniques Dejan Markovic dejan@ee.ucla.edu Announcements Class wiki up and running Go to: EEWeb / Online Lab Please

More information

CS485/685 Computer Vision Spring 2012 Dr. George Bebis Programming Assignment 2 Due Date: 3/27/2012

CS485/685 Computer Vision Spring 2012 Dr. George Bebis Programming Assignment 2 Due Date: 3/27/2012 CS8/68 Computer Vision Spring 0 Dr. George Bebis Programming Assignment Due Date: /7/0 In this assignment, you will implement an algorithm or normalizing ace image using SVD. Face normalization is a required

More information

Understanding Signal to Noise Ratio and Noise Spectral Density in high speed data converters

Understanding Signal to Noise Ratio and Noise Spectral Density in high speed data converters Understanding Signal to Noise Ratio and Noise Spectral Density in high speed data converters TIPL 4703 Presented by Ken Chan Prepared by Ken Chan 1 Table o Contents What is SNR Deinition o SNR Components

More information

Binary recursion. Unate functions. If a cover C(f) is unate in xj, x, then f is unate in xj. x

Binary recursion. Unate functions. If a cover C(f) is unate in xj, x, then f is unate in xj. x Binary recursion Unate unctions! Theorem I a cover C() is unate in,, then is unate in.! Theorem I is unate in,, then every prime implicant o is unate in. Why are unate unctions so special?! Special Boolean

More information

Design and Realization of user Behaviors Recommendation System Based on Association rules under Cloud Environment

Design and Realization of user Behaviors Recommendation System Based on Association rules under Cloud Environment Research Journal o Applied Sciences, Engineering and Technology 6(9): 1669-1673, 2013 ISSN: 2040-7459; e-issn: 2040-7467 Maxwell Scientiic Organization, 2013 Submitted: January 19, 2013 Accepted: March

More information

Automated Modelization of Dynamic Systems

Automated Modelization of Dynamic Systems Automated Modelization o Dynamic Systems Ivan Perl, Aleksandr Penskoi ITMO University Saint-Petersburg, Russia ivan.perl, aleksandr.penskoi@corp.imo.ru Abstract Nowadays, dierent kinds o modelling settled

More information

The Use of the No-Instruction-Set Computer (NISC) for Acceleration in WISHBONE-Based Systems

The Use of the No-Instruction-Set Computer (NISC) for Acceleration in WISHBONE-Based Systems The Use o the No-Instruction-Set Computer () or Acceleration in WISHBONE-Based Systems Roko Grubišić, Vlado Sruk Technical Report 11-14-2008 Department o Electronics, Microelectronics, Computer and Intelligent

More information

A Classification System and Analysis for Aspect-Oriented Programs

A Classification System and Analysis for Aspect-Oriented Programs A Classiication System and Analysis or Aspect-Oriented Programs Martin Rinard, Alexandru Sălcianu, and Suhabe Bugrara Massachusetts Institute o Technology Cambridge, MA 02139 ABSTRACT We present a new

More information

ROBUST FACE DETECTION UNDER CHALLENGES OF ROTATION, POSE AND OCCLUSION

ROBUST FACE DETECTION UNDER CHALLENGES OF ROTATION, POSE AND OCCLUSION ROBUST FACE DETECTION UNDER CHALLENGES OF ROTATION, POSE AND OCCLUSION Phuong-Trinh Pham-Ngoc, Quang-Linh Huynh Department o Biomedical Engineering, Faculty o Applied Science, Hochiminh University o Technology,

More information

ECE331: Hardware Organization and Design

ECE331: Hardware Organization and Design ECE331: Hardware Organization and Design Lecture 19: Verilog and Processor Performance Adapted from Computer Organization and Design, Patterson & Hennessy, UCB Verilog Basics Hardware description language

More information

1. Cyclone IV FPGA Device Family Overview

1. Cyclone IV FPGA Device Family Overview May 2013 CYIV-51001-1.8 1. Cyclone IV FPGA Device Family Overview CYIV-51001-1.8 Altera s new Cyclone IV FPGA device amily extends the Cyclone FPGA series leadership in providing the market s lowest-cost,

More information

Decision Support Systems for E-Purchasing using Case-Based Reasoning and Rating Based Collaborative Filtering

Decision Support Systems for E-Purchasing using Case-Based Reasoning and Rating Based Collaborative Filtering Decision Support Systems or E-Purchasing using Case-Based Reasoning and Rating Based Collaborative Filtering ABSTRACT The amount o trade conducted electronically has grown dramatically since the wide introduction

More information

EE282 Computer Architecture. Lecture 1: What is Computer Architecture?

EE282 Computer Architecture. Lecture 1: What is Computer Architecture? EE282 Computer Architecture Lecture : What is Computer Architecture? September 27, 200 Marc Tremblay Computer Systems Laboratory Stanford University marctrem@csl.stanford.edu Goals Understand how computer

More information

Method estimating reflection coefficients of adaptive lattice filter and its application to system identification

Method estimating reflection coefficients of adaptive lattice filter and its application to system identification Acoust. Sci. & Tech. 28, 2 (27) PAPER #27 The Acoustical Society o Japan Method estimating relection coeicients o adaptive lattice ilter and its application to system identiication Kensaku Fujii 1;, Masaaki

More information

6. I/O Features for HardCopy III Devices

6. I/O Features for HardCopy III Devices 6. I/O Features or HardCopy III Devices January 2011 HIII51006-3.1 HIII51006-3.1 This chapter describes the I/O standards, eatures, termination schemes, and perormance supported in HardCopy III devices.

More information

ITU - Telecommunication Standardization Sector. G.fast: Far-end crosstalk in twisted pair cabling; measurements and modelling ABSTRACT

ITU - Telecommunication Standardization Sector. G.fast: Far-end crosstalk in twisted pair cabling; measurements and modelling ABSTRACT ITU - Telecommunication Standardization Sector STUDY GROUP 15 Temporary Document 11RV-22 Original: English Richmond, VA. - 3-1 Nov. 211 Question: 4/15 SOURCE 1 : TNO TITLE: G.ast: Far-end crosstalk in

More information

A Large-scale Architecture for Restricted Boltzmann Machines

A Large-scale Architecture for Restricted Boltzmann Machines A Large-scale Architecture or Restricted Boltzmann Machines Sang Kyun Kim, Peter L. McMahon, Kunle Olukotun Department o Electrical Engineering Stanord University Stanord, Caliornia, United States {skkim38,pmcmahon,kunle}@stanord.edu

More information

A Cylindrical Surface Model to Rectify the Bound Document Image

A Cylindrical Surface Model to Rectify the Bound Document Image A Cylindrical Surace Model to Rectiy the Bound Document Image Huaigu Cao, Xiaoqing Ding, Changsong Liu Department o Electronic Engineering, Tsinghua University State Key Laboratory o Intelligent Technology

More information

13. HardCopy Design Migration Guidelines

13. HardCopy Design Migration Guidelines November 2012 EMI_DG_012-2.2 13. HardCopy Design Migration Guidelines EMI_DG_012-2.2 This chapter discusses HardCopy migration guidelines or UniPHY-based designs. I you want to migrate your ALTMEMPHY-based

More information

Object Tracking with Dynamic Feature Graph

Object Tracking with Dynamic Feature Graph Object Tracking with Dynamic Feature Graph Feng Tang and Hai Tao Department o Computer Engineering, University o Caliornia, Santa Cruz {tang,tao}@soe.ucsc.edu Abstract Two major problems or model-based

More information

Automated Planning for Feature Model Configuration based on Functional and Non-Functional Requirements

Automated Planning for Feature Model Configuration based on Functional and Non-Functional Requirements Automated Planning or Feature Model Coniguration based on Functional and Non-Functional Requirements Samaneh Soltani 1, Mohsen Asadi 1, Dragan Gašević 2, Marek Hatala 1, Ebrahim Bagheri 2 1 Simon Fraser

More information

Errata Sheet for Arria II GX Devices

Errata Sheet for Arria II GX Devices Errata Sheet or rria II GX evices ES-01025-3.7 Errata Sheet This errata sheet provides updated inormation about known device issues aecting rria II GX devices. Table 1 lists the speciic issues and which

More information

Design Progression With VHDL Helps Accelerate The Digital System Designs

Design Progression With VHDL Helps Accelerate The Digital System Designs Fourth LACCEI International Latin American and Caribbean Conference for Engineering and Technology (LACCET 2006) Breaking Frontiers and Barriers in Engineering: Education, Research and Practice 21-23 June

More information

Structured Parallel Programming with Deterministic Patterns

Structured Parallel Programming with Deterministic Patterns Structured Parallel Programming with Deterministic Patterns May 14, 2010 USENIX HotPar 2010, Berkeley, Caliornia Michael McCool, Sotware Architect, Ct Technology Sotware and Services Group, Intel Corporation

More information

Abstract. I. Introduction

Abstract. I. Introduction 46th AIAA/ASME/ASCE/AHS/ASC Structures, Structural Dynamics & Materials Conerence 8 - April 005, Austin, Texas AIAA 005-83 M Intuitive Design Selection Using Visualized n-dimensional Pareto Frontier G.

More information

A Requirement Specification Language for Configuration Dynamics of Multiagent Systems

A Requirement Specification Language for Configuration Dynamics of Multiagent Systems A Requirement Speciication Language or Coniguration Dynamics o Multiagent Systems Mehdi Dastani, Catholijn M. Jonker, Jan Treur* Vrije Universiteit Amsterdam, Department o Artiicial Intelligence, De Boelelaan

More information

DESIGN AND IMPLEMENTATION OF VLSI SYSTOLIC ARRAY MULTIPLIER FOR DSP APPLICATIONS

DESIGN AND IMPLEMENTATION OF VLSI SYSTOLIC ARRAY MULTIPLIER FOR DSP APPLICATIONS International Journal of Computing Academic Research (IJCAR) ISSN 2305-9184 Volume 2, Number 4 (August 2013), pp. 140-146 MEACSE Publications http://www.meacse.org/ijcar DESIGN AND IMPLEMENTATION OF VLSI

More information

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES DIGITAL DESIGN TECHNOLOGY & TECHNIQUES CAD for ASIC Design 1 INTEGRATED CIRCUITS (IC) An integrated circuit (IC) consists complex electronic circuitries and their interconnections. William Shockley et

More information

Binary Morphological Model in Refining Local Fitting Active Contour in Segmenting Weak/Missing Edges

Binary Morphological Model in Refining Local Fitting Active Contour in Segmenting Weak/Missing Edges 0 International Conerence on Advanced Computer Science Applications and Technologies Binary Morphological Model in Reining Local Fitting Active Contour in Segmenting Weak/Missing Edges Norshaliza Kamaruddin,

More information

FPGA PLB EVALUATION USING QUANTIFIED BOOLEAN SATISFIABILITY

FPGA PLB EVALUATION USING QUANTIFIED BOOLEAN SATISFIABILITY FPGA PLB EVALUATION USING QUANTIFIED BOOLEAN SATISFIABILITY Andrew C. Ling Electrical and Computer Engineering University o Toronto Toronto, CANADA email: aling@eecg.toronto.edu Deshanand P. Singh, Stephen

More information

EECS150 - Digital Design Lecture 6 - Logic Simulation. Encoder Example

EECS150 - Digital Design Lecture 6 - Logic Simulation. Encoder Example EECS150 - Digital Design Lecture 6 - Logic Simulation Feb 7, 2013 John Wawrzynek Spring 2013 EECS150 - Lec06-sim Page 1 Encoder Example What is y if x == 4 b1111? always @(x) : encode if (x == 4'b0001)

More information

Classifier Evasion: Models and Open Problems

Classifier Evasion: Models and Open Problems Classiier Evasion: Models and Open Problems Blaine Nelson 1, Benjamin I. P. Rubinstein 2, Ling Huang 3, Anthony D. Joseph 1,3, and J. D. Tygar 1 1 UC Berkeley 2 Microsot Research 3 Intel Labs Berkeley

More information

Measuring the Vulnerability of Interconnection. Networks in Embedded Systems. University of Massachusetts, Amherst, MA 01003

Measuring the Vulnerability of Interconnection. Networks in Embedded Systems. University of Massachusetts, Amherst, MA 01003 Measuring the Vulnerability o Interconnection Networks in Embedded Systems V. Lakamraju, Z. Koren, I. Koren, and C. M. Krishna Department o Electrical and Computer Engineering University o Massachusetts,

More information

SIMULATION OPTIMIZER AND OPTIMIZATION METHODS TESTING ON DISCRETE EVENT SIMULATIONS MODELS AND TESTING FUNCTIONS

SIMULATION OPTIMIZER AND OPTIMIZATION METHODS TESTING ON DISCRETE EVENT SIMULATIONS MODELS AND TESTING FUNCTIONS SIMULATION OPTIMIZER AND OPTIMIZATION METHODS TESTING ON DISCRETE EVENT SIMULATIONS MODELS AND TESTING UNCTIONS Pavel Raska (a), Zdenek Ulrych (b), Petr Horesi (c) (a) Department o Industrial Engineering

More information

Power Optimization for Universal Hash Function Data Path Using Divide-and-Concatenate Technique

Power Optimization for Universal Hash Function Data Path Using Divide-and-Concatenate Technique Poer Optimization or Universal Hash Function Data Path Using Divide-and-Concatenate Technique Bo Yang, and Ramesh Karri Dept. o Electrical and Computer Engineering, Polytechnic University Brooklyn, NY,

More information

Review: Chip Design Styles

Review: Chip Design Styles MPT-50 Introduction to omputer Design SFU, Harbour entre, Spring 007 Lecture 9: Feb. 6, 007 Programmable Logic Devices (PLDs) - Read Only Memory (ROM) - Programmable Array Logic (PAL) - Programmable Logic

More information

Verilog for High Performance

Verilog for High Performance Verilog for High Performance Course Description This course provides all necessary theoretical and practical know-how to write synthesizable HDL code through Verilog standard language. The course goes

More information

Fractal Art: Fractal Image and Music Generator

Fractal Art: Fractal Image and Music Generator Proceedings o the 7th WSEAS Int. Con. on Signal Processing, Computational Geometry & Artiicial Vision, Athens, Greece, August 4-6, 007 159 Fractal Art: Fractal Image and Music Generator RAZVAN TANASIE

More information

A Novel Accurate Genetic Algorithm for Multivariable Systems

A Novel Accurate Genetic Algorithm for Multivariable Systems World Applied Sciences Journal 5 (): 137-14, 008 ISSN 1818-495 IDOSI Publications, 008 A Novel Accurate Genetic Algorithm or Multivariable Systems Abdorreza Alavi Gharahbagh and Vahid Abolghasemi Department

More information

Design and Implementation of 5 Stages Pipelined Architecture in 32 Bit RISC Processor

Design and Implementation of 5 Stages Pipelined Architecture in 32 Bit RISC Processor Design and Implementation of 5 Stages Pipelined Architecture in 32 Bit RISC Processor Abstract The proposed work is the design of a 32 bit RISC (Reduced Instruction Set Computer) processor. The design

More information

Gesture Recognition using a Probabilistic Framework for Pose Matching

Gesture Recognition using a Probabilistic Framework for Pose Matching Gesture Recognition using a Probabilistic Framework or Pose Matching Ahmed Elgammal Vinay Shet Yaser Yacoob Larry S. Davis Computer Vision Laboratory University o Maryland College Park MD 20742 USA elgammalvinayyaserlsd

More information

Characterizing the network behavior of P2P traffic

Characterizing the network behavior of P2P traffic Characterizing the network behavior o PP traic Raaele Bolla, Marco Canini, Riccardo Rapuzzi, Michele Sciuto DIST - Department o Communication, Computer and System Sciences, University o Genoa Via Opera

More information

Road Sign Analysis Using Multisensory Data

Road Sign Analysis Using Multisensory Data Road Sign Analysis Using Multisensory Data R.J. López-Sastre, S. Lauente-Arroyo, P. Gil-Jiménez, P. Siegmann, and S. Maldonado-Bascón University o Alcalá, Department o Signal Theory and Communications

More information

Bibliography. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, Practical Software Reuse, Donald J. Reifer, Wiley, 1997.

Bibliography. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, Practical Software Reuse, Donald J. Reifer, Wiley, 1997. Bibliography Books on software reuse: 1. 2. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, 1997. Practical Software Reuse, Donald J. Reifer, Wiley, 1997. Formal specification and verification:

More information

Study and Analysis of Edge Detection and Implementation of Fuzzy Set. Theory Based Edge Detection Technique in Digital Images

Study and Analysis of Edge Detection and Implementation of Fuzzy Set. Theory Based Edge Detection Technique in Digital Images Study and Analysis o Edge Detection and Implementation o Fuzzy Set Theory Based Edge Detection Technique in Digital Images Anju K S Assistant Proessor, Department o Computer Science Baselios Mathews II

More information

Measure, Report, and Summarize Make intelligent choices See through the marketing hype Key to understanding effects of underlying architecture

Measure, Report, and Summarize Make intelligent choices See through the marketing hype Key to understanding effects of underlying architecture Chapter 2 Note: The slides being presented represent a mix. Some are created by Mark Franklin, Washington University in St. Louis, Dept. of CSE. Many are taken from the Patterson & Hennessy book, Computer

More information

Motion based 3D Target Tracking with Interacting Multiple Linear Dynamic Models

Motion based 3D Target Tracking with Interacting Multiple Linear Dynamic Models Motion based 3D Target Tracking with Interacting Multiple Linear Dynamic Models Zhen Jia and Arjuna Balasuriya School o EEE, Nanyang Technological University, Singapore jiazhen@pmail.ntu.edu.sg, earjuna@ntu.edu.sg

More information

EECS150 - Digital Design Lecture 6 - Logic Simulation

EECS150 - Digital Design Lecture 6 - Logic Simulation EECS150 - Digital Design Lecture 6 - Logic Simulation Sep. 17, 013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John Wawrzynek)

More information

An efficient greedy algorithm to create adaptive trees for fault localization in binary reversible circuits

An efficient greedy algorithm to create adaptive trees for fault localization in binary reversible circuits An efficient greedy algorithm to create adaptive trees for fault localization in binary reversible circuits Kavitha Ramasamy, Radhika Tagare, Ed erkins and Marek erkowski Department of Electrical and Computer

More information

EECS150 - Digital Design Lecture 7 - Computer Aided Design (CAD) - Part II (Logic Simulation) Finite State Machine Review

EECS150 - Digital Design Lecture 7 - Computer Aided Design (CAD) - Part II (Logic Simulation) Finite State Machine Review EECS150 - Digital Design Lecture 7 - Computer Aided Design (CAD) - Part II (Logic Simulation) Feb 9, 2010 John Wawrzynek Spring 2010 EECS150 - Lec7-CAD2 Page 1 Finite State Machine Review State Transition

More information

THIN LENSES: BASICS. There are at least three commonly used symbols for object and image distances:

THIN LENSES: BASICS. There are at least three commonly used symbols for object and image distances: THN LENSES: BASCS BJECTVE: To study and veriy some o the laws o optics applicable to thin lenses by determining the ocal lengths o three such lenses ( two convex, one concave) by several methods. THERY:

More information

structure syntax different levels of abstraction

structure syntax different levels of abstraction This and the next lectures are about Verilog HDL, which, together with another language VHDL, are the most popular hardware languages used in industry. Verilog is only a tool; this course is about digital

More information

Here is a list of lecture objectives. They are provided for you to reflect on what you are supposed to learn, rather than an introduction to this

Here is a list of lecture objectives. They are provided for you to reflect on what you are supposed to learn, rather than an introduction to this This and the next lectures are about Verilog HDL, which, together with another language VHDL, are the most popular hardware languages used in industry. Verilog is only a tool; this course is about digital

More information

Tutorial on VHDL and Verilog Applications

Tutorial on VHDL and Verilog Applications Second LACCEI International Latin American and Caribbean Conference for Engineering and Technology (LACCEI 2004) Challenges and Opportunities for Engineering Education, Research and Development 2-4 June

More information

DESIGN AND IMPLEMENTATION OF 8 BIT AND 16 BIT ALU USING VERILOG LANGUAGE

DESIGN AND IMPLEMENTATION OF 8 BIT AND 16 BIT ALU USING VERILOG LANGUAGE DESIGN AND IMPLEMENTATION OF 8 BIT AND 16 BIT USING VERILOG LANGUAGE MANIT KANTAWALA Dept. of Electronic & Communication Global Institute of Technology, Jaipur Rajasthan, India Abstract: In this Paper

More information

Multi Cycle Implementation Scheme for 8 bit Microprocessor by VHDL

Multi Cycle Implementation Scheme for 8 bit Microprocessor by VHDL Multi Cycle Implementation Scheme for 8 bit Microprocessor by VHDL Sharmin Abdullah, Nusrat Sharmin, Nafisha Alam Department of Electrical & Electronic Engineering Ahsanullah University of Science & Technology

More information

Joe Wingbermuehle, (A paper written under the guidance of Prof. Raj Jain)

Joe Wingbermuehle, (A paper written under the guidance of Prof. Raj Jain) 1 of 11 5/4/2011 4:49 PM Joe Wingbermuehle, wingbej@wustl.edu (A paper written under the guidance of Prof. Raj Jain) Download The Auto-Pipe system allows one to evaluate various resource mappings and topologies

More information

Reflection and Refraction

Reflection and Refraction Relection and Reraction Object To determine ocal lengths o lenses and mirrors and to determine the index o reraction o glass. Apparatus Lenses, optical bench, mirrors, light source, screen, plastic or

More information

Design and Implementation of VLSI 8 Bit Systolic Array Multiplier

Design and Implementation of VLSI 8 Bit Systolic Array Multiplier Design and Implementation of VLSI 8 Bit Systolic Array Multiplier Khumanthem Devjit Singh, K. Jyothi MTech student (VLSI & ES), GIET, Rajahmundry, AP, India Associate Professor, Dept. of ECE, GIET, Rajahmundry,

More information

arxiv: v2 [cs.lg] 13 Jun 2016

arxiv: v2 [cs.lg] 13 Jun 2016 Eicient Estimation o or the Nearest Neighbors Class o Methods - unpublished wor rom 20 - Alesander Lodwich, Faisal Shaait and Thomas Breuel arxiv:606.0267v2 [cs.lg] 3 Jun 206 contact ino: alesander[at]lodwich.net

More information

Shadow Casting with Stencil Buffer for Real-Time Rendering

Shadow Casting with Stencil Buffer for Real-Time Rendering 102 The International Arab Journal o Inormation Technology, Vol. 5, No. 4, October Shadow Casting with Stencil Buer or Real-Time Rendering Lee Weng, Daut Daman, and Mohd Rahim Faculty o Computer Science

More information

Lecture 1: Introduction Course arrangements Recap of basic digital design concepts EDA tool demonstration

Lecture 1: Introduction Course arrangements Recap of basic digital design concepts EDA tool demonstration TKT-1426 Digital design for FPGA, 6cp Fall 2011 http://www.tkt.cs.tut.fi/kurssit/1426/ Tampere University of Technology Department of Computer Systems Waqar Hussain Lecture Contents Lecture 1: Introduction

More information

Neighbourhood Operations

Neighbourhood Operations Neighbourhood Operations Neighbourhood operations simply operate on a larger neighbourhood o piels than point operations Origin Neighbourhoods are mostly a rectangle around a central piel Any size rectangle

More information

Scheduling in Multihop Wireless Networks without Back-pressure

Scheduling in Multihop Wireless Networks without Back-pressure Forty-Eighth Annual Allerton Conerence Allerton House, UIUC, Illinois, USA September 29 - October 1, 2010 Scheduling in Multihop Wireless Networks without Back-pressure Shihuan Liu, Eylem Ekici, and Lei

More information

Compressed Sensing Image Reconstruction Based on Discrete Shearlet Transform

Compressed Sensing Image Reconstruction Based on Discrete Shearlet Transform Sensors & Transducers 04 by IFSA Publishing, S. L. http://www.sensorsportal.com Compressed Sensing Image Reconstruction Based on Discrete Shearlet Transorm Shanshan Peng School o Inormation Science and

More information

[Sahu* et al., 5(7): July, 2016] ISSN: IC Value: 3.00 Impact Factor: 4.116

[Sahu* et al., 5(7): July, 2016] ISSN: IC Value: 3.00 Impact Factor: 4.116 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY SPAA AWARE ERROR TOLERANT 32 BIT ARITHMETIC AND LOGICAL UNIT FOR GRAPHICS PROCESSOR UNIT Kaushal Kumar Sahu*, Nitin Jain Department

More information

System Development Tools for Excalibur Devices

System Development Tools for Excalibur Devices System Development Tools or Excalibur Devices January 2003, ver. 1.0 Application Note 299 Introduction The Excalibur embedded processor devices achieve a new level o system integration rom the inclusion

More information

Xavier: A Robot Navigation Architecture Based on Partially Observable Markov Decision Process Models

Xavier: A Robot Navigation Architecture Based on Partially Observable Markov Decision Process Models Xavier: A Robot Navigation Architecture Based on Partially Observable Markov Decision Process Models Sven Koenig and Reid G. Simmons Carnegie Mellon University School o Computer Science Pittsburgh, PA

More information

MOVING CAMERA ROTATION ESTIMATION USING HORIZON LINE FEATURES MOTION FIELD

MOVING CAMERA ROTATION ESTIMATION USING HORIZON LINE FEATURES MOTION FIELD MOVING CAMERA ROTATION ESTIMATION USING HORION LINE FEATURES MOTION FIELD S. Nedevschi, T. Marita, R. Danescu, F. Oniga, C. Pocol Computer Science Department Technical University o Cluj-Napoca Cluj-Napoca,

More information

Image Pre-processing and Feature Extraction Techniques for Magnetic Resonance Brain Image Analysis

Image Pre-processing and Feature Extraction Techniques for Magnetic Resonance Brain Image Analysis Image Pre-processing and Feature Extraction Techniques or Magnetic Resonance Brain Image Analysis D. Jude Hemanth and J. Anitha Department o ECE, Karunya University, Coimbatore, India {jude_hemanth,rajivee1}@redimail.com

More information

FPGA Implementation of Multiplier for Floating- Point Numbers Based on IEEE Standard

FPGA Implementation of Multiplier for Floating- Point Numbers Based on IEEE Standard FPGA Implementation of Multiplier for Floating- Point Numbers Based on IEEE 754-2008 Standard M. Shyamsi, M. I. Ibrahimy, S. M. A. Motakabber and M. R. Ahsan Dept. of Electrical and Computer Engineering

More information