Technology, Covenant University, Ota, Ogun State, Nigeria. 2

Size: px
Start display at page:

Download "Technology, Covenant University, Ota, Ogun State, Nigeria. 2"

Transcription

1 Iteratioal Joural of Egieerig & Techology IJET-IJENS Vol:4 No: 5 Implemetatio of Efficiet Multilayer Perceptro ANN Neuros o Field Programmable Gate Array Chip Emmauel ADETIBA*, F.A. IBIKUNLE 2, S.A. DARAMOLA 3, A.T. OLAJIDE 4,3 Departmet of Electrical & Iformatio Egieerig, School of Egieerig ad Techology, College of Sciece ad Techology, Coveat Uiversity, Ota, Ogu State, Nigeria. 2 Departmet of Computer, Iformatio ad Telecommuicatios Egieerig, College of Sciece ad Techology, Botswaa Iteratioal Uiversity of Sciece ad Techology, Gaboroe, Botswaa. 4 Departmet of Computer Sciece, Kwara State Polytechics, Ilori, Kwara State, Nigeria. *Correspodece Author: emmauel.adetiba@coveatuiversity.edu.g Abstract-- Artificial Neural Network is widely used to lear data from systems for differet types of applicatios. The capability of differet types of Itegrated Circuit (IC) based ANN structures also depeds o the hardware backboe used for their implemetatio. I this work, Field Programmable Gate Array (FPGA) based Multilayer Perceptro Artificial Neural Network (MLP-ANN) euro is developed. Eperimets were carried out to demostrate the hardware realizatio of the artificial euro usig FPGA. Two differet activatio fuctios (i.e. ta-sigmoid ad log-sigmoid) were tested for the implemetatio of the proposed euro. Simulatio result shows that ta-sigmoid with a high ide (i.e. k >= 4) is a better choice of sigmoid activatio fuctio for the harware implemetatio of a MLP-ANN euro. Ide Term-- ANN, ASIC, DSP, FPGA, MLP. INTRODUCTION A artificial euro was ispired pricipally from the structure ad fuctios of the biological euro. It lears through a iterative process of adjustmet of its syaptic weights ad a euro becomes more kowledgeable after each iteratio of the learig process. The ultimate aim of learig by the X Iput layer w jk euro is to adjust the weights ad update the output for a ew actual output which coicides with the desired output. However, the capability of a sigle artificial euro is very limited. For istace, the Perceptro (a threshold euro) caot lear o-liearly separable fuctio []. To lear fuctios that caot be leared by a sigle euro, a itercoectio of multiple euros called Neural Network (NN) or Artificial Neural Network (ANN) must be employed. Apart from the artificial euro which is the basic processig uits i ANN, there are patters of coectios betwee the euros ad the propagatio of data called etwork topology. There are two mai types of ANN topology which are; feedforward ad recurret etwork topologies. I feed-forward etworks, the data flow from iput to output strictly i a forward directio ad there is o feedback of coectios while i recurret etworks, there are feedback coectios. A commoly used feed-forward etwork topology is Multi- Layer Perceptro (MLP). MLP caters for learig of oliear fuctios ad Figure. shows its architectural represetatio. Hidde layer w kj Output layer y X 2 y X y m The MLP etworks are typically traied with the traiig algorithm called the Backpropagatio (BP) algorithm which is a supervised learig method that maps the process iputs to Fig... Multi-Layer Perceptro (MLP) topology [2]. the desired outputs by miimizig the errors betwee the desired outputs ad the calculated outputs [2]. BP is a applicatio of the gradiet method or other umerical

2 Accumulator Iteratioal Joural of Egieerig & Techology IJET-IJENS Vol:4 No: 52 optimizatio methods to a ANN with feed-forward architecture i order to miimize the error fuctio. The algorithm is the most popular method for performig supervised learig [3]. There are differet variats of BP algorithm which iclude; cojugate gradiet, Leveberg Marquardt (LM), gradiet descet, quasi-newto ad etc. I order to fully beefit from the massive parallelism that is iheret i ANN, it is essetial to implemet it i hardware. ANNs ca be implemeted i hardware usig either aalog or digital electroics [4]. Aalog electroics implemetatio of ANN is always very efficiet with respect to space ad processig speed, however, these are achieved by tradig off the accuracy of the computatio elemets of the etwork. Digital electroics implemetatio of ANN ca be classified ito three groups; i.) DSP-based implemetatio ii.) ASIC based implemetatio ad iii.) FPGA-based implemetatio [5]. DSP-based implemetatios are sequetial ad do ot preserve the parallel architecture of ANNs ad ASIC implemetatios do ot support recofigurability after deploymet. However, FPGA based implemetatio is very suitable for hardware realizatio of ANN. It ot oly preserves the parallel architecture of eural etworks, but also, it offers fleibility i recofiguratio, modularity ad dyamic adaptatio for eural computatio elemets. FPGA which is a acroym for Field Programmable Gate Array is described by Stephe ad Joatha [6] as a itegrated circuit cotaiig gate matri which ca be programmed by the user i the field without usig epesive equipmet. The maufacturers of FPGA iclude; Xili, Altera, Actel, Lattice, QuickLogic ad Atmel. Majority of FPGAs are based o SRAM (Static RAM) ad they store logic cells cofiguratio data i the static memory orgaized as a array of latches. This class of FPGA must be programmed upo start because SRAM is volatile. Eamples of SRAM based FPGAs are Virte ad Sparta families (from Xili) ad Cycloe ad Strati (from Altera). SRAM based Altera Cycloe FPGA is the adopted techology for hardware implemetatio of the artificial euro i this work. 2. MATERIALS AND METHODS Geerally, ANN implemetatio usually starts with the euro because it is the basic uit of ay eural etwork. Meawhile, the hardware implemetatio of a euro has two major parts. The first part is the basic fuctioal uits that realise the ier product ad the secod part is the implemetatio of the activatio fuctio. The architecture for the hardware implemetatio of a artificial euro is show i Figure 2. multiplier adder Weight Register X + Activatio Fuctio Output Iput Register Multiply-Accumulate (MAC) Uit Fig. 2.. Hardware architecture of a artificial euro 2. Basic Fuctioal Uits The basic fuctioal uits of a hardware euro compute the ier product for the euro ad it is made up of the etities show i Figure 2.. The iput register was implemeted with a shift register for iterative eterig of the iput values ito the euro. The weights register was realized usig a shift register ad it serves the purpose of eterig the correspodig weight of the curret iput value ito the euro. The multiply accumulate (MAC) uit of the euro was realized with combiatioal circuits for full adder ad multiplier. Appropriate umber of bits were used for the iput ad output sigals i the code so as to cater for the epected data rage. These uits were implemeted with Very High- Level Descriptio Laguage (VHDL) i Quartus II 9. Web Editio ad the target was a Altera s DE2 board. This board cotais a Altera Cycloe II 2C35 FPGA with a wide rage of eteral memory, embedded multiplier, iterfaces, I/O protocols ad parameterizable IP cores [7]. The VHDL codes for the basic fuctioal uits are show i Figure 3..

3 Iteratioal Joural of Egieerig & Techology IJET-IJENS Vol:4 No: 53 library ieee; use ieee.std_logic_64.all; use ieee.std_logic_arith.all; use ieee.std_logic_siged.all; -- declare the etity etity mac is geeric (r : iteger := 3; b : iteger := 32); port( p : i siged (b- dowto ); w : i siged(b- dowto ); clk : std_logic; --w_out : out siged(b- dowto ); a : out siged (2* b- dowto )); ed mac; architecture Behavioral of mac is type weights is array ( to r) of siged (b- dowto ); type iputs is array( to r) of siged (b- dowto ); begi process(clk,w,p) variable weight : weights; variable iput : iputs; variable prod, acc : siged (2 * b- dowto ); begi if (clk'evet ad clk='') the weight := w & weight( to r-); -- weights shift register iput := p & iput( to r-); ed if; --iput():= p; iput(2) := p2; iput(3) := p3; acc :=(Others =>''); --output weights --multiply-accumulate(mac) L: for j i to r loop prod := iput(j) * weight(j); acc := acc + prod; ed loop L; a <= acc; --liear output of the euro ed process; ed Behavioral; Fig. 3.. VHDL codes for the basic fuctioal uits of a euro 2.2 Neuro Activatio Fuctio The commoly used activatio fuctios i artificial euros are liear, sigmoid ad radial fuctios. The liear activatio has the form;. (.) The sigmoid activatio fuctios are S shaped ad the oes that are mostly used are the logistic ad the hyperbolic taget (equatios (2.) ad (3.) respectively); (2.). (3.) There are differet types of radial activatio fuctios, but the oe that is usually adopted uses Gaussia fuctio; However, for the hardware implemetatio of the euro i this work, the taylor series of the sigmoid activatio fuctios (i.e. log-sigmoid ad ta-sigmoid) which are the most commoly used activatio fuctios i ANNs were aalyzed sice they caot be implemeted directly i hardware (4.) because they both cotai epoetial fuctios. With proper aalysis, we were able to make a iformed decisio o the appropriate choice of sigmoid activatio fuctio for hardware euro implemetatio. The aalysis is reported i the subsequet sub-sectios.

4 Iteratioal Joural of Egieerig & Techology IJET-IJENS Vol:4 No: Taylor Series Approimatios for Log-Sigmoid ad Ta-Sigmoid Restatig equatio (2.), we have; f( ) e, (5.) The power series of e - is; e ( ),! R. (6.) k For let z ( ) (7.)! Puttig (7.) ito (5.), Taylor s series is obtaied for (5.) as: f( z) z. (8.) Equatio (8.) is the Taylor s series represetatio of logsigmoid activatio fuctio. Restatig equatio (3.) for ta-sigmoid activatio fuctio gives; f( ) e e e e The power series for e is; e For!, (9.), R. (.) k, (.) becomes; y. (.)! Substitutig equatios (7.) ad (.) ito (9.) produces; y z f ( y, z). (2.) y z Therefore, equatio (2.) is the Taylor series for ta-sigmoid activatio fuctio. The pseudocodes from these aalysis are show i figures 4. ad 5.. LogSigmoid(X) /* Iitialize variables*/ y = : Prod = : LogSig = Read k For = To k Prod = ((-) ^ ) * ((X ^ )/Fact()) y = y + Prod EdFor /* Compute the Taylor series LogSig */ LogSig = /( + y) DISPLAY LogSig Ed Fact() /* Iitialize variable(s) */ Factorial = For i = To 2 Factorial = Factorial * (i-) EdFor Retur Factorial Fig. 4.. Pseudocode for log-sigmoid Taylor series

5 Iteratioal Joural of Egieerig & Techology IJET-IJENS Vol:4 No: 55 TaSigmoid(X) /* Iitialize variables*/ y = : z = : Prod = : Prod2 = : TaSig = Read k For = To k Prod = ((-) ^ ) * ((X ^ )/ LogSigmoid.Fact()) y = y + Prod Prod2 = (X ^ )/LogSigmoid.Fact() z = z + Prod2 EdFor /* Compute the Taylor series Ta-Sig */ TaSig = (y-z)/(y+z) DISPLAY TaSig Ed Figure 5.: Pseudocode for ta-sigmoid Taylor series 3. Eperimetal Results ad Discussio The VHDL codes show i Figure 3. were simulated fuctioally i Quartus II 9. Web Editio eviromet o Altera s DE2 board that cotais Altera Cycloe II 2C35 FPGA. The Register Trasfer Logic (RTL) of the VHDL code for the basic fuctioal uits with 3 iputs ad 3 weights is show i Figure 6. ad the simulatio output is show i Fig. 7.. Fig. 6.. RTL of the basic fuctioal uits of the artificial euro

6 Computed Log-sigmoid ad Taylor's Series Iteratioal Joural of Egieerig & Techology IJET-IJENS Vol:4 No: 56 Fig. 7.. Simulatio output of the basic fuctioal uits of the artificial euro The simulatio output i Figure 7. which shows the implemetatio result of multiply ad accumulate (MAC) operatio o the cotet of the iput ad weight registers illustrates a perfect output for the iputs. This is a attestatio to the correctess of our VHDL codes (Figure 3.) for the basic fuctioal uits part of the artificial euro implemeted i this work. Also, eperimets were carried out so as to ascertai the appropriate sigmoid activatio fuctio betwee log-sigmoid ad ta-siged for the hardware realizatio of artificial euros. The pseudocode for log-sigmoid ad ta-sigmoid ad their respective Taylor series approimatios (Figures 4. ad 5.) were implemeted i MATLAB R28a. Eperimetal trials for k=, 2 ad 4 ad for values of X ragig from -2 to +2 (i Equatios 7. ad.) for the two activatio fuctios were performed. The results obtaied from these eperimets were graphically plotted i order to aid our comparative aalysis. These plots are show i Figures 8., 8., 8.2, 9., 9. ad log-sigmoid Taylor series Values of Fig. 8.. Log-sigmoid ad it s Taylor series approimatio for k

7 Computed values of Log-sigmoid ad Taylor's series Computed Log-sigmoid ad Taylor's series Iteratioal Joural of Egieerig & Techology IJET-IJENS Vol:4 No: 57.9 log-sigmoid Taylor's series Values of Fig. 8.. Log-sigmoid ad it s Taylor series approimatio for k 2.9 log-sigmoid Taylor's series Values of Fig Log-sigmoid ad it s Taylor series approimatio for k 4

8 Computed Ta-sigmoid ad Taylor Series Computed Ta-sigmoid ad Taylor Series Iteratioal Joural of Egieerig & Techology IJET-IJENS Vol:4 No: ta-sigmoid Taylor series Values of Fig. 9.. Ta-sigmoid ad it s Taylor series approimatio for k.6 ta-sigmoid Taylor series Values of Fig. 9.. Ta-sigmoid ad it s Taylor series approimatio for k 2

9 Computed Ta-sigmoid ad Taylor Series Iteratioal Joural of Egieerig & Techology IJET-IJENS Vol:4 No: 59.6 ta-sigmoid Taylor series Values of Fig Ta-sigmoid ad it s Taylor series approimatio for k 4 Figures 8., 8. ad 8.2 show that for the various values of k (i.e., 2 ad 4) for, there are little covergeces betwee the actual log-sigmoid fuctio ad its Taylor series approimatios. Meawhile, k = 4 was aticipated to give a good covergece but the plot i Figure 8. shows that for higher positive values of X, the deviatio betwee the actual fuctio ad the Taylors series approimatio was gettig more proouced. However, from Figures 9., 9. ad 9.2, the covergeces betwee tasigmoid fuctio ad its Taylor series approimatios improve as the values of k rage from to 2 to 4 for. Ifact, as show i Figure 9.2, at k = 4, there is a perfect covergece betwee the actual ta-sigmoid fuctio ad its Taylor series approimatio for the rage. 4. CONCLUSION The result i Figure 7. shows that our VHDL code i this work is very accurate ad ca be reliably loaded ito a FPGA (i.e. Altera s DE2 board that cotais Altera Cycloe II 2C35 FPGA) to realize the basic fuctioal uits of ay artificial euro. Also, the plot i Figure 9.2 shows that ta-sigmoid with a high ide (i.e. k >= 4) is a better choice of sigmoid activatio fuctio for the hardware implemetatio of a artificial euro. A Multi-Layer Perceptro (MLP) eural etwork ca therefore be implemeted o FPGA by aggregatig several of the hardware euros i this work based o the required MLP cofiguratio for a give area of applicatio. Our et directio for this work is to adapt a FPGA-based MLP eural etwork to realize the classifier submodule of a geomics-based diagostic system for lug cacer. However, FPGA-based MLP eural etwork hardware ca be applied i other areas such as commuicatios, cotrol, et-geeratio sequecig, biometrics ad biomedical devices. REFERENCES [] Tredeick, N., (996). Microprocessor-based computers, IEEE Computer: 5 years of computig, [2] Huag, Y. (29). Advaces i Artificial Neural Networks: Methodological Developmet ad Applicatio, Algorithms, 2: [3] Werbos, P. J., (994). The Roots of Backpropagatio: From ordered derivatives to Neural Networks ad Political Forecastig, Joh Wiley ad Sos, New York. [4] Fiesler E., ad Beale, R., (997). Hadbook of Neural Computatio, E.2:-3, Istitute of Physics Publishig ad Oford Uiversity Publishig, New York. [5] Pedro F., Pedro, R., Aa A., ad Ferado M. D., (27). A high bit resolutio FPGA implemetatio of a FNN with a ew algorithm for the activatio fuctio, Neurocomputig, 7:7 77. [6] Stephe B., ad Joatha R., (2). Architecture of FPGAs ad CPLDs: A Tutorial, Departmet of Electrical ad Computer Egieerig, Uiversity of Toroto. [7] Altera (22). DE2 Developmet ad Educatio Board User Maual, Versio.6, Altera Corporatio, 4-6.

A SOFTWARE MODEL FOR THE MULTILAYER PERCEPTRON

A SOFTWARE MODEL FOR THE MULTILAYER PERCEPTRON A SOFTWARE MODEL FOR THE MULTILAYER PERCEPTRON Roberto Lopez ad Eugeio Oñate Iteratioal Ceter for Numerical Methods i Egieerig (CIMNE) Edificio C1, Gra Capitá s/, 08034 Barceloa, Spai ABSTRACT I this work

More information

ANN WHICH COVERS MLP AND RBF

ANN WHICH COVERS MLP AND RBF ANN WHICH COVERS MLP AND RBF Josef Boští, Jaromír Kual Faculty of Nuclear Scieces ad Physical Egieerig, CTU i Prague Departmet of Software Egieerig Abstract Two basic types of artificial eural etwors Multi

More information

Neural Networks A Model of Boolean Functions

Neural Networks A Model of Boolean Functions Neural Networks A Model of Boolea Fuctios Berd Steibach, Roma Kohut Freiberg Uiversity of Miig ad Techology Istitute of Computer Sciece D-09596 Freiberg, Germay e-mails: steib@iformatik.tu-freiberg.de

More information

AN OPTIMIZATION NETWORK FOR MATRIX INVERSION

AN OPTIMIZATION NETWORK FOR MATRIX INVERSION 397 AN OPTIMIZATION NETWORK FOR MATRIX INVERSION Ju-Seog Jag, S~ Youg Lee, ad Sag-Yug Shi Korea Advaced Istitute of Sciece ad Techology, P.O. Box 150, Cheogryag, Seoul, Korea ABSTRACT Iverse matrix calculatio

More information

Optimization for framework design of new product introduction management system Ma Ying, Wu Hongcui

Optimization for framework design of new product introduction management system Ma Ying, Wu Hongcui 2d Iteratioal Coferece o Electrical, Computer Egieerig ad Electroics (ICECEE 2015) Optimizatio for framework desig of ew product itroductio maagemet system Ma Yig, Wu Hogcui Tiaji Electroic Iformatio Vocatioal

More information

EE 459/500 HDL Based Digital Design with Programmable Logic. Lecture 13 Control and Sequencing: Hardwired and Microprogrammed Control

EE 459/500 HDL Based Digital Design with Programmable Logic. Lecture 13 Control and Sequencing: Hardwired and Microprogrammed Control EE 459/500 HDL Based Digital Desig with Programmable Logic Lecture 13 Cotrol ad Sequecig: Hardwired ad Microprogrammed Cotrol Refereces: Chapter s 4,5 from textbook Chapter 7 of M.M. Mao ad C.R. Kime,

More information

Cluster Analysis. Andrew Kusiak Intelligent Systems Laboratory

Cluster Analysis. Andrew Kusiak Intelligent Systems Laboratory Cluster Aalysis Adrew Kusiak Itelliget Systems Laboratory 2139 Seamas Ceter The Uiversity of Iowa Iowa City, Iowa 52242-1527 adrew-kusiak@uiowa.edu http://www.icae.uiowa.edu/~akusiak Two geeric modes of

More information

Elementary Educational Computer

Elementary Educational Computer Chapter 5 Elemetary Educatioal Computer. Geeral structure of the Elemetary Educatioal Computer (EEC) The EEC coforms to the 5 uits structure defied by vo Neuma's model (.) All uits are preseted i a simplified

More information

CSC 220: Computer Organization Unit 11 Basic Computer Organization and Design

CSC 220: Computer Organization Unit 11 Basic Computer Organization and Design College of Computer ad Iformatio Scieces Departmet of Computer Sciece CSC 220: Computer Orgaizatio Uit 11 Basic Computer Orgaizatio ad Desig 1 For the rest of the semester, we ll focus o computer architecture:

More information

An Algorithm to Solve Multi-Objective Assignment. Problem Using Interactive Fuzzy. Goal Programming Approach

An Algorithm to Solve Multi-Objective Assignment. Problem Using Interactive Fuzzy. Goal Programming Approach It. J. Cotemp. Math. Scieces, Vol. 6, 0, o. 34, 65-66 A Algorm to Solve Multi-Objective Assigmet Problem Usig Iteractive Fuzzy Goal Programmig Approach P. K. De ad Bharti Yadav Departmet of Mathematics

More information

A New Morphological 3D Shape Decomposition: Grayscale Interframe Interpolation Method

A New Morphological 3D Shape Decomposition: Grayscale Interframe Interpolation Method A ew Morphological 3D Shape Decompositio: Grayscale Iterframe Iterpolatio Method D.. Vizireau Politehica Uiversity Bucharest, Romaia ae@comm.pub.ro R. M. Udrea Politehica Uiversity Bucharest, Romaia mihea@comm.pub.ro

More information

Intrusion Detection using Fuzzy Clustering and Artificial Neural Network

Intrusion Detection using Fuzzy Clustering and Artificial Neural Network Itrusio Detectio usig Fuzzy Clusterig ad Artificial Neural Network Shraddha Suraa Research Scholar, Departmet of Computer Egieerig, Vishwakarma Istitute of Techology, Pue Idia shraddha.suraa@gmail.com

More information

Redundancy Allocation for Series Parallel Systems with Multiple Constraints and Sensitivity Analysis

Redundancy Allocation for Series Parallel Systems with Multiple Constraints and Sensitivity Analysis IOSR Joural of Egieerig Redudacy Allocatio for Series Parallel Systems with Multiple Costraits ad Sesitivity Aalysis S. V. Suresh Babu, D.Maheswar 2, G. Ragaath 3 Y.Viaya Kumar d G.Sakaraiah e (Mechaical

More information

Study on effective detection method for specific data of large database LI Jin-feng

Study on effective detection method for specific data of large database LI Jin-feng Iteratioal Coferece o Automatio, Mechaical Cotrol ad Computatioal Egieerig (AMCCE 205) Study o effective detectio method for specific data of large database LI Ji-feg (Vocatioal College of DogYig, Shadog

More information

Pattern Recognition Systems Lab 1 Least Mean Squares

Pattern Recognition Systems Lab 1 Least Mean Squares Patter Recogitio Systems Lab 1 Least Mea Squares 1. Objectives This laboratory work itroduces the OpeCV-based framework used throughout the course. I this assigmet a lie is fitted to a set of poits usig

More information

A Parallel DFA Minimization Algorithm

A Parallel DFA Minimization Algorithm A Parallel DFA Miimizatio Algorithm Ambuj Tewari, Utkarsh Srivastava, ad P. Gupta Departmet of Computer Sciece & Egieerig Idia Istitute of Techology Kapur Kapur 208 016,INDIA pg@iitk.ac.i Abstract. I this

More information

x x 2 x Iput layer = quatity of classificatio mode X T = traspositio matrix The core of such coditioal probability estimatig method is calculatig the

x x 2 x Iput layer = quatity of classificatio mode X T = traspositio matrix The core of such coditioal probability estimatig method is calculatig the COMPARATIVE RESEARCHES ON PROBABILISTIC NEURAL NETWORKS AND MULTI-LAYER PERCEPTRON NETWORKS FOR REMOTE SENSING IMAGE SEGMENTATION Liu Gag a, b, * a School of Electroic Iformatio, Wuha Uiversity, 430079,

More information

Computer Systems - HS

Computer Systems - HS What have we leared so far? Computer Systems High Level ENGG1203 2d Semester, 2017-18 Applicatios Sigals Systems & Cotrol Systems Computer & Embedded Systems Digital Logic Combiatioal Logic Sequetial Logic

More information

Probabilistic Fuzzy Time Series Method Based on Artificial Neural Network

Probabilistic Fuzzy Time Series Method Based on Artificial Neural Network America Joural of Itelliget Systems 206, 6(2): 42-47 DOI: 0.5923/j.ajis.2060602.02 Probabilistic Fuzzy Time Series Method Based o Artificial Neural Network Erol Egrioglu,*, Ere Bas, Cagdas Haka Aladag

More information

Solving Fuzzy Assignment Problem Using Fourier Elimination Method

Solving Fuzzy Assignment Problem Using Fourier Elimination Method Global Joural of Pure ad Applied Mathematics. ISSN 0973-768 Volume 3, Number 2 (207), pp. 453-462 Research Idia Publicatios http://www.ripublicatio.com Solvig Fuzzy Assigmet Problem Usig Fourier Elimiatio

More information

ISSN (Print) Research Article. *Corresponding author Nengfa Hu

ISSN (Print) Research Article. *Corresponding author Nengfa Hu Scholars Joural of Egieerig ad Techology (SJET) Sch. J. Eg. Tech., 2016; 4(5):249-253 Scholars Academic ad Scietific Publisher (A Iteratioal Publisher for Academic ad Scietific Resources) www.saspublisher.com

More information

CORD Test Project in Okinawa Open Laboratory

CORD Test Project in Okinawa Open Laboratory CORD Test Project i Okiawa Ope Laboratory Fukumasa Morifuji NTT Commuicatios Trasform your busiess, trasced expectatios with our techologically advaced solutios. Ageda VxF platform i NTT Commuicatios Expectatio

More information

EMPIRICAL ANALYSIS OF FAULT PREDICATION TECHNIQUES FOR IMPROVING SOFTWARE PROCESS CONTROL

EMPIRICAL ANALYSIS OF FAULT PREDICATION TECHNIQUES FOR IMPROVING SOFTWARE PROCESS CONTROL Iteratioal Joural of Iformatio Techology ad Kowledge Maagemet July-December 2012, Volume 5, No. 2, pp. 371-375 EMPIRICAL ANALYSIS OF FAULT PREDICATION TECHNIQUES FOR IMPROVING SOFTWARE PROCESS CONTROL

More information

Parallel Polygon Approximation Algorithm Targeted at Reconfigurable Multi-Ring Hardware

Parallel Polygon Approximation Algorithm Targeted at Reconfigurable Multi-Ring Hardware Parallel Polygo Approximatio Algorithm Targeted at Recofigurable Multi-Rig Hardware M. Arif Wai* ad Hamid R. Arabia** *Califoria State Uiversity Bakersfield, Califoria, USA **Uiversity of Georgia, Georgia,

More information

Lecture 2. RTL Design Methodology. Transition from Pseudocode & Interface to a Corresponding Block Diagram

Lecture 2. RTL Design Methodology. Transition from Pseudocode & Interface to a Corresponding Block Diagram Lecture 2 RTL Desig Methodology Trasitio from Pseudocode & Iterface to a Correspodig Block Diagram Structure of a Typical Digital Data Iputs Datapath (Executio Uit) Data Outputs System Cotrol Sigals Status

More information

Fuzzy Membership Function Optimization for System Identification Using an Extended Kalman Filter

Fuzzy Membership Function Optimization for System Identification Using an Extended Kalman Filter Fuzzy Membership Fuctio Optimizatio for System Idetificatio Usig a Eteded Kalma Filter Srikira Kosaam ad Da Simo Clevelad State Uiversity NAFIPS Coferece Jue 4, 2006 Embedded Cotrol Systems Research Lab

More information

A new algorithm to build feed forward neural networks.

A new algorithm to build feed forward neural networks. A ew algorithm to build feed forward eural etworks. Amit Thombre Cetre of Excellece, Software Techologies ad Kowledge Maagemet, Tech Mahidra, Pue, Idia Abstract The paper presets a ew algorithm to build

More information

Ones Assignment Method for Solving Traveling Salesman Problem

Ones Assignment Method for Solving Traveling Salesman Problem Joural of mathematics ad computer sciece 0 (0), 58-65 Oes Assigmet Method for Solvig Travelig Salesma Problem Hadi Basirzadeh Departmet of Mathematics, Shahid Chamra Uiversity, Ahvaz, Ira Article history:

More information

Improvement of the Orthogonal Code Convolution Capabilities Using FPGA Implementation

Improvement of the Orthogonal Code Convolution Capabilities Using FPGA Implementation Improvemet of the Orthogoal Code Covolutio Capabilities Usig FPGA Implemetatio Naima Kaabouch, Member, IEEE, Apara Dhirde, Member, IEEE, Saleh Faruque, Member, IEEE Departmet of Electrical Egieerig, Uiversity

More information

Generation of Distributed Arithmetic Designs for Reconfigurable Applications

Generation of Distributed Arithmetic Designs for Reconfigurable Applications Geeratio of Distributed Arithmetic Desigs for Recofigurable Applicatios Christophe Bobda, Ali Ahmadiia, Jürge Teich Uiversity of Erlage-Nuremberg Departmet of computer sciece Am Weichselgarte 3, 91058

More information

Fundamentals of. Chapter 1. Microprocessor and Microcontroller. Dr. Farid Farahmand. Updated: Tuesday, January 16, 2018

Fundamentals of. Chapter 1. Microprocessor and Microcontroller. Dr. Farid Farahmand. Updated: Tuesday, January 16, 2018 Fudametals of Chapter 1 Microprocessor ad Microcotroller Dr. Farid Farahmad Updated: Tuesday, Jauary 16, 2018 Evolutio First came trasistors Itegrated circuits SSI (Small-Scale Itegratio) to ULSI Very

More information

Lecture 3. RTL Design Methodology. Transition from Pseudocode & Interface to a Corresponding Block Diagram

Lecture 3. RTL Design Methodology. Transition from Pseudocode & Interface to a Corresponding Block Diagram Lecture 3 RTL Desig Methodology Trasitio from Pseudocode & Iterface to a Correspodig Block Diagram Structure of a Typical Digital Data Iputs Datapath (Executio Uit) Data Outputs System Cotrol Sigals Status

More information

Lecture 1: Introduction

Lecture 1: Introduction Lecture 1: Itroductio g Class orgaizatio Istructor cotact Course objectives ad outcomes Lectures outlie Laboratory outlie Gradig system Tetative schedule g Lab schedule g Itelliget sesor systems (ISS)

More information

Creating Exact Bezier Representations of CST Shapes. David D. Marshall. California Polytechnic State University, San Luis Obispo, CA , USA

Creating Exact Bezier Representations of CST Shapes. David D. Marshall. California Polytechnic State University, San Luis Obispo, CA , USA Creatig Exact Bezier Represetatios of CST Shapes David D. Marshall Califoria Polytechic State Uiversity, Sa Luis Obispo, CA 93407-035, USA The paper presets a method of expressig CST shapes pioeered by

More information

INTERNATIONAL JOURNAL OF ADVANCED RESEARCH IN ENGINEERING AND TECHNOLOGY (IJARET) A NEW RADIX-4 FFT ALGORITHM

INTERNATIONAL JOURNAL OF ADVANCED RESEARCH IN ENGINEERING AND TECHNOLOGY (IJARET) A NEW RADIX-4 FFT ALGORITHM ITERATIOAL JOURAL OF ADVACED REEARC I EIEERI AD TECOLO (IJARET Iteratioal Joural of Advaced Research i Egieerig ad Techology (IJARET I 976 68(Prit I 976 699(Olie Volume Issue 3 April (3 IAEME I 976-68

More information

BASED ON ITERATIVE ERROR-CORRECTION

BASED ON ITERATIVE ERROR-CORRECTION A COHPARISO OF CRYPTAALYTIC PRICIPLES BASED O ITERATIVE ERROR-CORRECTIO Miodrag J. MihaljeviC ad Jova Dj. GoliC Istitute of Applied Mathematics ad Electroics. Belgrade School of Electrical Egieerig. Uiversity

More information

G2 T. Specification Sheet G2T-001 G2T Touchscreen Mainframes Accepts G2 Plug-in Modules Four Sizes: 2RU, 3RU, 6RU and 8RU

G2 T. Specification Sheet G2T-001 G2T Touchscreen Mainframes Accepts G2 Plug-in Modules Four Sizes: 2RU, 3RU, 6RU and 8RU G2 T Geeral The G2T Maiframes are part of our field-prove G2 family of products ad replaces the G2S maiframes. The mai differece is the all ew frot pael touchscree desig which replaces the older VF display

More information

Software development of components for complex signal analysis on the example of adaptive recursive estimation methods.

Software development of components for complex signal analysis on the example of adaptive recursive estimation methods. Software developmet of compoets for complex sigal aalysis o the example of adaptive recursive estimatio methods. SIMON BOYMANN, RALPH MASCHOTTA, SILKE LEHMANN, DUNJA STEUER Istitute of Biomedical Egieerig

More information

Appendix D. Controller Implementation

Appendix D. Controller Implementation COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Iterface 5 th Editio Appedix D Cotroller Implemetatio Cotroller Implemetatios Combiatioal logic (sigle-cycle); Fiite state machie (multi-cycle, pipelied);

More information

Quorum Based Data Replication in Grid Environment

Quorum Based Data Replication in Grid Environment Quorum Based Data Replicatio i Grid Eviromet Rohaya Latip, Hamidah Ibrahim, Mohamed Othma, Md Nasir Sulaima, ad Azizol Abdullah Faculty of Computer Sciece ad Iformatio Techology, Uiversiti Putra Malaysia

More information

arxiv: v2 [cs.ds] 24 Mar 2018

arxiv: v2 [cs.ds] 24 Mar 2018 Similar Elemets ad Metric Labelig o Complete Graphs arxiv:1803.08037v [cs.ds] 4 Mar 018 Pedro F. Felzeszwalb Brow Uiversity Providece, RI, USA pff@brow.edu March 8, 018 We cosider a problem that ivolves

More information

Chapter 4 The Datapath

Chapter 4 The Datapath The Ageda Chapter 4 The Datapath Based o slides McGraw-Hill Additioal material 24/25/26 Lewis/Marti Additioal material 28 Roth Additioal material 2 Taylor Additioal material 2 Farmer Tae the elemets that

More information

Reversible Realization of Quaternary Decoder, Multiplexer, and Demultiplexer Circuits

Reversible Realization of Quaternary Decoder, Multiplexer, and Demultiplexer Circuits Egieerig Letters, :, EL Reversible Realizatio of Quaterary Decoder, Multiplexer, ad Demultiplexer Circuits Mozammel H.. Kha, Member, ENG bstract quaterary reversible circuit is more compact tha the correspodig

More information

Sorting in Linear Time. Data Structures and Algorithms Andrei Bulatov

Sorting in Linear Time. Data Structures and Algorithms Andrei Bulatov Sortig i Liear Time Data Structures ad Algorithms Adrei Bulatov Algorithms Sortig i Liear Time 7-2 Compariso Sorts The oly test that all the algorithms we have cosidered so far is compariso The oly iformatio

More information

EFFICIENT MULTIPLE SEARCH TREE STRUCTURE

EFFICIENT MULTIPLE SEARCH TREE STRUCTURE EFFICIENT MULTIPLE SEARCH TREE STRUCTURE Mohammad Reza Ghaeii 1 ad Mohammad Reza Mirzababaei 1 Departmet of Computer Egieerig ad Iformatio Techology, Amirkabir Uiversity of Techology, Tehra, Ira mr.ghaeii@aut.ac.ir

More information

A Parallel Reconfigurable Architecture for Real-Time Stereo Vision

A Parallel Reconfigurable Architecture for Real-Time Stereo Vision 2009 Iteratioal Cofereces o Embedded Software ad Systems A Parallel Recofigurable Architecture for Real-Time Stereo Visio Lei Che Yude Jia Beijig Laboratory of Itelliget Iformatio Techology, School of

More information

Python Programming: An Introduction to Computer Science

Python Programming: An Introduction to Computer Science Pytho Programmig: A Itroductio to Computer Sciece Chapter 1 Computers ad Programs 1 Objectives To uderstad the respective roles of hardware ad software i a computig system. To lear what computer scietists

More information

Copyright 2016 Ramez Elmasri and Shamkant B. Navathe

Copyright 2016 Ramez Elmasri and Shamkant B. Navathe Copyright 2016 Ramez Elmasri ad Shamkat B. Navathe CHAPTER 18 Strategies for Query Processig Copyright 2016 Ramez Elmasri ad Shamkat B. Navathe Itroductio DBMS techiques to process a query Scaer idetifies

More information

Statistical Approach for Noise Removal in Speech Signals Using LMS, NLMS, Block LMS and RLS Adaptive filters

Statistical Approach for Noise Removal in Speech Signals Using LMS, NLMS, Block LMS and RLS Adaptive filters Statistical Approach for Noise Removal i Speech Sigals Usig LMS, NLMS, Block LMS ad RLS Adaptive filters D. Hari Hara Satosh, Member, IACSI, Vusl Sravya Pedyala, V. N. Lakshma Kumar, ad N. Shamukh Rao

More information

An Anomaly Detection Method Based On Deep Learning

An Anomaly Detection Method Based On Deep Learning Sed Orders for Reprits to reprits@bethamsciece.ae 734 The Ope Automatio ad Cotrol Systems Joural, 05, 7, 734-739 A Aomaly Detectio Method Based O Deep Learig Ope Access Hog-li Deg *, Tao yag ad Jiag-i

More information

Dept. of Biomed. Eng. BME801: Inverse Problems in Bioengineering Kyung Hee Univ. Stimulus. New Response

Dept. of Biomed. Eng. BME801: Inverse Problems in Bioengineering Kyung Hee Univ. Stimulus. New Response Dept of Biomed Eg BME80: Iverse Problems i Bioegieerig Kyug Hee Uiv Learig Processes What is learig? Stimulus System Eviromet New Respose Parameter Chages Learig paradigm Supervised learig: learig with

More information

System and Software Architecture Description (SSAD)

System and Software Architecture Description (SSAD) System ad Software Architecture Descriptio (SSAD) Diabetes Health Platform Team #6 Jasmie Berry (Cliet) Veerav Naidu (Project Maager) Mukai Nog (Architect) Steve South (IV&V) Vijaya Prabhakara (Quality

More information

BAYESIAN WITH FULL CONDITIONAL POSTERIOR DISTRIBUTION APPROACH FOR SOLUTION OF COMPLEX MODELS. Pudji Ismartini

BAYESIAN WITH FULL CONDITIONAL POSTERIOR DISTRIBUTION APPROACH FOR SOLUTION OF COMPLEX MODELS. Pudji Ismartini Proceedig of Iteratioal Coferece O Research, Implemetatio Ad Educatio Of Mathematics Ad Scieces 014, Yogyakarta State Uiversity, 18-0 May 014 BAYESIAN WIH FULL CONDIIONAL POSERIOR DISRIBUION APPROACH FOR

More information

Behavioral Modeling in Verilog

Behavioral Modeling in Verilog Behavioral Modelig i Verilog COE 202 Digital Logic Desig Dr. Muhamed Mudawar Kig Fahd Uiversity of Petroleum ad Mierals Presetatio Outlie Itroductio to Dataflow ad Behavioral Modelig Verilog Operators

More information

Parallel Learning of Large Fuzzy Cognitive Maps

Parallel Learning of Large Fuzzy Cognitive Maps g Proceedigs of Iteratioal Joit Coferece o Neural Networks, Orlado, Florida, USA, August 2-7, 07 Parallel Learig of Large Fuzzy Cogitive Maps Wojciech Stach, Lukasz Kurga, ad Witold Pedrycz Abstract Fuzzy

More information

Lecture 18. Optimization in n dimensions

Lecture 18. Optimization in n dimensions Lecture 8 Optimizatio i dimesios Itroductio We ow cosider the problem of miimizig a sigle scalar fuctio of variables, f x, where x=[ x, x,, x ]T. The D case ca be visualized as fidig the lowest poit of

More information

Project 2.5 Improved Euler Implementation

Project 2.5 Improved Euler Implementation Project 2.5 Improved Euler Implemetatio Figure 2.5.10 i the text lists TI-85 ad BASIC programs implemetig the improved Euler method to approximate the solutio of the iitial value problem dy dx = x+ y,

More information

Computer Graphics Hardware An Overview

Computer Graphics Hardware An Overview Computer Graphics Hardware A Overview Graphics System Moitor Iput devices CPU/Memory GPU Raster Graphics System Raster: A array of picture elemets Based o raster-sca TV techology The scree (ad a picture)

More information

Architectural styles for software systems The client-server style

Architectural styles for software systems The client-server style Architectural styles for software systems The cliet-server style Prof. Paolo Ciacarii Software Architecture CdL M Iformatica Uiversità di Bologa Ageda Cliet server style CS two tiers CS three tiers CS

More information

Pseudocode ( 1.1) Analysis of Algorithms. Primitive Operations. Pseudocode Details. Running Time ( 1.1) Estimating performance

Pseudocode ( 1.1) Analysis of Algorithms. Primitive Operations. Pseudocode Details. Running Time ( 1.1) Estimating performance Aalysis of Algorithms Iput Algorithm Output A algorithm is a step-by-step procedure for solvig a problem i a fiite amout of time. Pseudocode ( 1.1) High-level descriptio of a algorithm More structured

More information

Chapter 3. Floating Point Arithmetic

Chapter 3. Floating Point Arithmetic COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Iterface 5 th Editio Chapter 3 Floatig Poit Arithmetic Review - Multiplicatio 0 1 1 0 = 6 multiplicad 32-bit ALU shift product right multiplier add

More information

What are we going to learn? CSC Data Structures Analysis of Algorithms. Overview. Algorithm, and Inputs

What are we going to learn? CSC Data Structures Analysis of Algorithms. Overview. Algorithm, and Inputs What are we goig to lear? CSC316-003 Data Structures Aalysis of Algorithms Computer Sciece North Carolia State Uiversity Need to say that some algorithms are better tha others Criteria for evaluatio Structure

More information

6.854J / J Advanced Algorithms Fall 2008

6.854J / J Advanced Algorithms Fall 2008 MIT OpeCourseWare http://ocw.mit.edu 6.854J / 18.415J Advaced Algorithms Fall 2008 For iformatio about citig these materials or our Terms of Use, visit: http://ocw.mit.edu/terms. 18.415/6.854 Advaced Algorithms

More information

Τεχνολογία Λογισμικού

Τεχνολογία Λογισμικού ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ Σχολή Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Τεχνολογία Λογισμικού, 7ο/9ο εξάμηνο 2018-2019 Τεχνολογία Λογισμικού Ν.Παπασπύρου, Αν.Καθ. ΣΗΜΜΥ, ickie@softlab.tua,gr

More information

Lecture Notes 6 Introduction to algorithm analysis CSS 501 Data Structures and Object-Oriented Programming

Lecture Notes 6 Introduction to algorithm analysis CSS 501 Data Structures and Object-Oriented Programming Lecture Notes 6 Itroductio to algorithm aalysis CSS 501 Data Structures ad Object-Orieted Programmig Readig for this lecture: Carrao, Chapter 10 To be covered i this lecture: Itroductio to algorithm aalysis

More information

Outline. Research Definition. Motivation. Foundation of Reverse Engineering. Dynamic Analysis and Design Pattern Detection in Java Programs

Outline. Research Definition. Motivation. Foundation of Reverse Engineering. Dynamic Analysis and Design Pattern Detection in Java Programs Dyamic Aalysis ad Desig Patter Detectio i Java Programs Outlie Lei Hu Kamra Sartipi {hul4, sartipi}@mcmasterca Departmet of Computig ad Software McMaster Uiversity Caada Motivatio Research Problem Defiitio

More information

Transactions of the VŠB Technical University of Ostrava, Mechanical Series. article No. 1965

Transactions of the VŠB Technical University of Ostrava, Mechanical Series. article No. 1965 Trasactios of the VŠB Techical Uiversity of Ostrava, Mechaical Series No. 2, 2013, vol. LIX article No. 1965 Marti MARIŠKA *, Petr DOLEŽEL ** PIECEWISE-LINEAR NEURAL NETWORK POSSIBLE TRAINING ALGORITHMS

More information

Appendix A. Use of Operators in ARPS

Appendix A. Use of Operators in ARPS A Appedix A. Use of Operators i ARPS The methodology for solvig the equatios of hydrodyamics i either differetial or itegral form usig grid-poit techiques (fiite differece, fiite volume, fiite elemet)

More information

Chapter 1. Introduction to Computers and C++ Programming. Copyright 2015 Pearson Education, Ltd.. All rights reserved.

Chapter 1. Introduction to Computers and C++ Programming. Copyright 2015 Pearson Education, Ltd.. All rights reserved. Chapter 1 Itroductio to Computers ad C++ Programmig Copyright 2015 Pearso Educatio, Ltd.. All rights reserved. Overview 1.1 Computer Systems 1.2 Programmig ad Problem Solvig 1.3 Itroductio to C++ 1.4 Testig

More information

Ontology-based Decision Support System with Analytic Hierarchy Process for Tour Package Selection

Ontology-based Decision Support System with Analytic Hierarchy Process for Tour Package Selection 2017 Asia-Pacific Egieerig ad Techology Coferece (APETC 2017) ISBN: 978-1-60595-443-1 Otology-based Decisio Support System with Aalytic Hierarchy Process for Tour Pacage Selectio Tie-We Sug, Chia-Jug Lee,

More information

Introduction to Computing Systems: From Bits and Gates to C and Beyond 2 nd Edition

Introduction to Computing Systems: From Bits and Gates to C and Beyond 2 nd Edition Lecture Goals Itroductio to Computig Systems: From Bits ad Gates to C ad Beyod 2 d Editio Yale N. Patt Sajay J. Patel Origial slides from Gregory Byrd, North Carolia State Uiversity Modified slides by

More information

Euclidean Distance Based Feature Selection for Fault Detection Prediction Model in Semiconductor Manufacturing Process

Euclidean Distance Based Feature Selection for Fault Detection Prediction Model in Semiconductor Manufacturing Process Vol.133 (Iformatio Techology ad Computer Sciece 016), pp.85-89 http://dx.doi.org/10.1457/astl.016. Euclidea Distace Based Feature Selectio for Fault Detectio Predictio Model i Semicoductor Maufacturig

More information

Automatic Generation of Polynomial-Basis Multipliers in GF (2 n ) using Recursive VHDL

Automatic Generation of Polynomial-Basis Multipliers in GF (2 n ) using Recursive VHDL Automatic Geeratio of Polyomial-Basis Multipliers i GF (2 ) usig Recursive VHDL J. Nelso, G. Lai, A. Teca Abstract Multiplicatio i GF (2 ) is very commoly used i the fields of cryptography ad error correctig

More information

FINITE DIFFERENCE TIME DOMAIN METHOD (FDTD)

FINITE DIFFERENCE TIME DOMAIN METHOD (FDTD) FINIT DIFFRNC TIM DOMAIN MTOD (FDTD) The FDTD method, proposed b Yee, 1966, is aother umerical method, used widel for the solutio of M problems. It is used to solve ope-regio scatterig, radiatio, diffusio,

More information

Enhancing Efficiency of Software Fault Tolerance Techniques in Satellite Motion System

Enhancing Efficiency of Software Fault Tolerance Techniques in Satellite Motion System Joural of Iformatio Systems ad Telecommuicatio, Vol. 2, No. 3, July-September 2014 173 Ehacig Efficiecy of Software Fault Tolerace Techiques i Satellite Motio System Hoda Baki Departmet of Electrical ad

More information

VISUALSLX AN OPEN USER SHELL FOR HIGH-PERFORMANCE MODELING AND SIMULATION. Thomas Wiedemann

VISUALSLX AN OPEN USER SHELL FOR HIGH-PERFORMANCE MODELING AND SIMULATION. Thomas Wiedemann Proceedigs of the 2000 Witer Simulatio Coferece J. A. Joies, R. R. Barto, K. Kag, ad P. A. Fishwick, eds. VISUALSLX AN OPEN USER SHELL FOR HIGH-PERFORMANCE MODELING AND SIMULATION Thomas Wiedema Techical

More information

An Improved Shuffled Frog-Leaping Algorithm for Knapsack Problem

An Improved Shuffled Frog-Leaping Algorithm for Knapsack Problem A Improved Shuffled Frog-Leapig Algorithm for Kapsack Problem Zhoufag Li, Ya Zhou, ad Peg Cheg School of Iformatio Sciece ad Egieerig Hea Uiversity of Techology ZhegZhou, Chia lzhf1978@126.com Abstract.

More information

3D Model Retrieval Method Based on Sample Prediction

3D Model Retrieval Method Based on Sample Prediction 20 Iteratioal Coferece o Computer Commuicatio ad Maagemet Proc.of CSIT vol.5 (20) (20) IACSIT Press, Sigapore 3D Model Retrieval Method Based o Sample Predictio Qigche Zhag, Ya Tag* School of Computer

More information

DIRECT SHEAR APPARATUS

DIRECT SHEAR APPARATUS DIRECT SHEAR APPARATUS I a direct shear test, the failure of the soil sample i shear is caused alog a predetermied plae. Test is performed as per IS 2720 part XIII The ormal load, strai ad shearig force

More information

COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface. Chapter 4. The Processor. Part A Datapath Design

COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface. Chapter 4. The Processor. Part A Datapath Design COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Iterface 5 th Editio Chapter The Processor Part A path Desig Itroductio CPU performace factors Istructio cout Determied by ISA ad compiler. CPI ad

More information

Text Summarization using Neural Network Theory

Text Summarization using Neural Network Theory Iteratioal Joural of Computer Systems (ISSN: 2394-065), Volume 03 Issue 07, July, 206 Available at http://www.ijcsolie.com/ Simra Kaur Jolly, Wg Cdr Ail Chopra 2 Departmet of CSE, Ligayas Uiversity, Faridabad

More information

DETECTION OF LANDSLIDE BLOCK BOUNDARIES BY MEANS OF AN AFFINE COORDINATE TRANSFORMATION

DETECTION OF LANDSLIDE BLOCK BOUNDARIES BY MEANS OF AN AFFINE COORDINATE TRANSFORMATION Proceedigs, 11 th FIG Symposium o Deformatio Measuremets, Satorii, Greece, 2003. DETECTION OF LANDSLIDE BLOCK BOUNDARIES BY MEANS OF AN AFFINE COORDINATE TRANSFORMATION Michaela Haberler, Heribert Kahme

More information

APPLICATION NOTE PACE1750AE BUILT-IN FUNCTIONS

APPLICATION NOTE PACE1750AE BUILT-IN FUNCTIONS APPLICATION NOTE PACE175AE BUILT-IN UNCTIONS About This Note This applicatio brief is iteded to explai ad demostrate the use of the special fuctios that are built ito the PACE175AE processor. These powerful

More information

BOOLEAN MATHEMATICS: GENERAL THEORY

BOOLEAN MATHEMATICS: GENERAL THEORY CHAPTER 3 BOOLEAN MATHEMATICS: GENERAL THEORY 3.1 ISOMORPHIC PROPERTIES The ame Boolea Arithmetic was chose because it was discovered that literal Boolea Algebra could have a isomorphic umerical aspect.

More information

Isn t It Time You Got Faster, Quicker?

Isn t It Time You Got Faster, Quicker? Is t It Time You Got Faster, Quicker? AltiVec Techology At-a-Glace OVERVIEW Motorola s advaced AltiVec techology is desiged to eable host processors compatible with the PowerPC istructio-set architecture

More information

Keywords Software Architecture, Object-oriented metrics, Reliability, Reusability, Coupling evaluator, Cohesion, efficiency

Keywords Software Architecture, Object-oriented metrics, Reliability, Reusability, Coupling evaluator, Cohesion, efficiency Volume 3, Issue 9, September 2013 ISSN: 2277 128X Iteratioal Joural of Advaced Research i Computer Sciece ad Software Egieerig Research Paper Available olie at: www.ijarcsse.com Couplig Evaluator to Ehace

More information

LU Decomposition Method

LU Decomposition Method SOLUTION OF SIMULTANEOUS LINEAR EQUATIONS LU Decompositio Method Jamie Traha, Autar Kaw, Kevi Marti Uiversity of South Florida Uited States of America kaw@eg.usf.edu http://umericalmethods.eg.usf.edu Itroductio

More information

. Written in factored form it is easy to see that the roots are 2, 2, i,

. Written in factored form it is easy to see that the roots are 2, 2, i, CMPS A Itroductio to Programmig Programmig Assigmet 4 I this assigmet you will write a java program that determies the real roots of a polyomial that lie withi a specified rage. Recall that the roots (or

More information

A Comparative Study on Modeling Methods for Switched Reluctance Machines

A Comparative Study on Modeling Methods for Switched Reluctance Machines Computer ad Iformatio Sciece Vol. 3, No. 2; May 21 A Comparative Study o Modelig Methods for Switched Reluctace Machies Shouju Sog & Weiguo iu School of Automatio, Northwester Polytechical Uiversity PO

More information

EE260: Digital Design, Spring /16/18. n Example: m 0 (=x 1 x 2 ) is adjacent to m 1 (=x 1 x 2 ) and m 2 (=x 1 x 2 ) but NOT m 3 (=x 1 x 2 )

EE260: Digital Design, Spring /16/18. n Example: m 0 (=x 1 x 2 ) is adjacent to m 1 (=x 1 x 2 ) and m 2 (=x 1 x 2 ) but NOT m 3 (=x 1 x 2 ) EE26: Digital Desig, Sprig 28 3/6/8 EE 26: Itroductio to Digital Desig Combiatioal Datapath Yao Zheg Departmet of Electrical Egieerig Uiversity of Hawaiʻi at Māoa Combiatioal Logic Blocks Multiplexer Ecoders/Decoders

More information

A INVERSE KINEMATIC SOLUTION OF A 6-DOF INDUSTRIAL ROBOT USING ANN

A INVERSE KINEMATIC SOLUTION OF A 6-DOF INDUSTRIAL ROBOT USING ANN ISSN: 2250-038 (Olie) A INVERSE KINEMATIC SOLUTION OF A -DOF INDUSTRIAL ROBOT USING ANN KSHITISH K. DASH a, BIBHUTI B. CHOUDURY b AND SUKANTA K.SENAPATI c a Departmet of Mechaical Egieerig(GIFT) bc Departmet

More information

A Modified Multiband U Shaped and Microcontroller Shaped Fractal Antenna

A Modified Multiband U Shaped and Microcontroller Shaped Fractal Antenna al Joural o Recet ad Iovatio Treds i Computig ad Commuicatio ISSN: 221-8169 A Modified Multibad U Shaped ad Microcotroller Shaped Fractal Atea Shweta Goyal 1, Yogedra Kumar Katiyar 2 1 M.tech Scholar,

More information

MATHEMATICAL METHODS OF ANALYSIS AND EXPERIMENTAL DATA PROCESSING (Or Methods of Curve Fitting)

MATHEMATICAL METHODS OF ANALYSIS AND EXPERIMENTAL DATA PROCESSING (Or Methods of Curve Fitting) MATHEMATICAL METHODS OF ANALYSIS AND EXPERIMENTAL DATA PROCESSING (Or Methods of Curve Fittig) I this chapter, we will eamie some methods of aalysis ad data processig; data obtaied as a result of a give

More information

Counting the Number of Minimum Roman Dominating Functions of a Graph

Counting the Number of Minimum Roman Dominating Functions of a Graph Coutig the Number of Miimum Roma Domiatig Fuctios of a Graph SHI ZHENG ad KOH KHEE MENG, Natioal Uiversity of Sigapore We provide two algorithms coutig the umber of miimum Roma domiatig fuctios of a graph

More information

Criterion in selecting the clustering algorithm in Radial Basis Functional Link Nets

Criterion in selecting the clustering algorithm in Radial Basis Functional Link Nets WSEAS TRANSACTIONS o SYSTEMS Ag Sau Loog, Og Hog Choo, Low Heg Chi Criterio i selectig the clusterig algorithm i Radial Basis Fuctioal Lik Nets ANG SAU LOONG 1, ONG HONG CHOON 2 & LOW HENG CHIN 3 Departmet

More information

Low Complexity H.265/HEVC Coding Unit Size Decision for a Videoconferencing System

Low Complexity H.265/HEVC Coding Unit Size Decision for a Videoconferencing System BULGARIAN ACADEMY OF SCIENCES CYBERNETICS AND INFORMATION TECHNOLOGIES Volume 15, No 6 Special Issue o Logistics, Iformatics ad Service Sciece Sofia 2015 Prit ISSN: 1311-9702; Olie ISSN: 1314-4081 DOI:

More information

GE FUNDAMENTALS OF COMPUTING AND PROGRAMMING UNIT III

GE FUNDAMENTALS OF COMPUTING AND PROGRAMMING UNIT III GE2112 - FUNDAMENTALS OF COMPUTING AND PROGRAMMING UNIT III PROBLEM SOLVING AND OFFICE APPLICATION SOFTWARE Plaig the Computer Program Purpose Algorithm Flow Charts Pseudocode -Applicatio Software Packages-

More information

GPUMP: a Multiple-Precision Integer Library for GPUs

GPUMP: a Multiple-Precision Integer Library for GPUs GPUMP: a Multiple-Precisio Iteger Library for GPUs Kaiyog Zhao ad Xiaowe Chu Departmet of Computer Sciece, Hog Kog Baptist Uiversity Hog Kog, P. R. Chia Email: {kyzhao, chxw}@comp.hkbu.edu.hk Abstract

More information

G2 T Made in the USA. Specification Sheet G2T-001 G2T Mainframes with Touchscreen Accepts G2 Plug-in Modules Four Sizes: 2RU, 3RU, 6RU and 8RU

G2 T Made in the USA. Specification Sheet G2T-001 G2T Mainframes with Touchscreen Accepts G2 Plug-in Modules Four Sizes: 2RU, 3RU, 6RU and 8RU Specificatio Sheet G2T-001 G2T Maiframes with Touchscree Accepts G2 Plug-i Modules Four Sizes: 2RU, 3RU, 6RU ad 8RU Geeral The G2T maiframes are the latest additio to our fieldprove G2 family of products

More information

Chapter 10. Defining Classes. Copyright 2015 Pearson Education, Ltd.. All rights reserved.

Chapter 10. Defining Classes. Copyright 2015 Pearson Education, Ltd.. All rights reserved. Chapter 10 Defiig Classes Copyright 2015 Pearso Educatio, Ltd.. All rights reserved. Overview 10.1 Structures 10.2 Classes 10.3 Abstract Data Types 10.4 Itroductio to Iheritace Copyright 2015 Pearso Educatio,

More information