Research Paper ANALYSIS AND DESIGN OF VLSI FLOORPLANNING ALGORITHMS FOR NANO-CIRCUITS Gracia Nirmala Rani. D 1, Rajaram.S 2 Address for Correspondence

Size: px
Start display at page:

Download "Research Paper ANALYSIS AND DESIGN OF VLSI FLOORPLANNING ALGORITHMS FOR NANO-CIRCUITS Gracia Nirmala Rani. D 1, Rajaram.S 2 Address for Correspondence"

Transcription

1 Research Paper ANALYSIS AND DESIGN OF VLSI FLOORPLANNING ALGORITHMS FOR NANO-CIRCUITS Gracia Nirmala Rani. D 1, Rajaram.S 2 Address for Correspondence 1,2 Department of ECE, Thiagarajar College of Engineering, Madurai, Tamilnadu , India. ABSTRACT The semiconductor industry has advanced tremendously over the last ten years with features sizes being downscaled from micrometer to nanometer regime. As the VLSI technology marches towards nanotechnology, the physical design complexity is increasingly with millions of layout objects on a monolithic chip. In the physical design process, floorplanning is an important step, as it establishes the groundwork for a good layout. The existing challenges and limited solutions to the different issues under VLSI floorplanning problem include placing a set of circuit modules on a chip to minimize the total area and interconnect cost. And adding constraints such as alignment and performance blocks will make the floorplanning problem more critical. Also, more packing density of the modules inside the floorplanning will lead to increase the temperature and interconnection problem. All these issues must be addressed fully for the successful deployment of the intelligent VLSI floorplan representation. In this paper an attempt is made to address these issues by proposing robust algorithms and validating them with the MCNC benchmark circuits. Index Terms Design Automation, Very Large Scale Integration, Evolutionary Computation, Thermal Noise. I. INTRODUCTION VLSI circuit has a high design complexity that allows the implementation of a large number of transistors on a single chip. The non-ideal phenomenon for nanometer regime will become much more serious in runtime. Based on this consideration, the chip design became increasingly complex. Thus, CAD tool helps in automating the process of VLSI design by using sophisticated and efficient algorithms. It plays a major role in floorplanning problems due to its high computational complexity. This paper proposes the optimization algorithms for the different VLSI floorplanning problem. Floorplanning is used to plan the location of all circuit modules on a chip without overlapping each other and also the circuit performance is to be optimized. Hence, it is important to choose a good representation and a searching methodology to perturb the infinite solution space for a near optimal floorplan in less time. Also, the designers want to provide extra options to place the modules in the final packing for various reasons. If there are many interconnections between the modules or align vertically in the middle of the chip for busbased routing, the VLSI circuit designers is to limit the separation between two modules. So, it is desirable to find a useful way to handle the floorplanning problem with the placement constraints.in addition, aggressive scaling of DSM technologies allows the increased level of integration within a single die enforces rigid constraints on the power consumption budget and hence the temperature of the chip is increased. Hence, temperature based VLSI floorplanning designs has gained importance and popularity in recent years. II. LITERATURE SURVEY Many circuit designers search the good optimization tools for floorplanning problems. Generally, all the floorplan structure will be non sliceable. [2] proposed B*-tree based Annealing(SA) scheme for floorplan design runs faster, consumes less memory, and results in the smaller silicon area. To improve area utilization, [4] embedded SA into tabu search algorithm. This method doesn t exploit the information in the search space which is the major drawback. Then, [5] developed Hybrid Evolutionary Algorithm (HEA) which combines the efficiency of Fast SA and evolutionary algorithm. It is obvious that this method achieves a high-quality solution using hybrid scheme; especially the area objective has a marked improvement. But it takes larger computation time to produce the optimal solution space. Next, [6] explained that as the feature size is reduced, it increases exponentially with the increase in temperature. Hence, reducing the temperature of the chip will result in less leakage and most of the poweraware design does not reduce power density in hotspots, having little impact on operating temperature. Then [7] developed temperature-aware floorplanning via geometric programming techniques.next, [8] showed that thermal aware floorplanning can be done using B* tree representation and SA. Here top 20% modules are chosen and their module area is expanded to reduce the power density and the module temperature is reduced. The lack of proposals which is capable of dealing with a large number of functional units in a short time motivates this work. Many common challenges have been focused on the salvation of numerous floorplanning problems by using various techniques proposed in the literature survey. This work is considered as the area, wire length and thermal constraints for fixed frame floorplanning based on B*tree representation using our proposed Evolutionary (DE) algorithm in order to focus on a computation time complexity and solution space. III. B*TREE REPRESENTATION A floorplan is usually represented by a rectangular dissection. VLSI Floorplanning representations mainly classified into two types namely i) slicing representation and ii) non-slicing representation. The nonslicing category is a more general representation for all types of packing. Not all floorplans are sliceable. All these nice properties make the B*-trees an efficient and flexible representation for nonslicing floorplans. Hence, B*-tree based VLSI Floorplan representation has been considered in our proposed work. Given a placement P, to construct a unique B*-tree in linear time by using a recursive procedure similar to the Depth First Search (DFS) algorithm. Starting from the root, first construct the left sub tree and then the right sub tree recursively.

2 TABLE 1: COMPARISON BETWEEN VARIOUS PACKING FLOORPLAN REPRESENTATIONS Solution Flexibilit Space Size y Representati on Time Complexit y Encodin g friendly Space complexit y NPE[10] O(n!2 3n /n 1. O(n) General Low O(n) BSG [11] O(n!C(n 2, O(n 2 ) General Medium O(nlgn) n)) SP [12] (n!) 2 O(n 2 )* General Medium 2nlgn CBL [13] O(n!2 3n /n 1. O(n) Mosaic High nlgn + 3n B*-tree[14] O(n!2 2n /n 1. O tree [15] O(n!2 2n /n 1. Amortized O(n) Amortized O(n) compacte d compacte d High nlgn + 2n Medium nlgn + 2n CS [16] (n!) 2 O(n) compacte Low -- d TCG [17] (n!) 2 O(n 2 ) General Medium O(n 2 ) Figure.1 (a) Admissible Placement (b) The Corresponding B*-tree Fig.1 (a) & (b) shows a placement and its corresponding B*-tree respectively. The root n 0 of the B*-tree denotes that M 0 is the module on the bottomleft corner of the placement. For node n 3 in the B*- tree, n 3 has a left child n 4 which means that module M 4 is the lowest adjacent module on the right-hand side of the module M 3 (i.e. n 4 = n 3 + n 3 ). n 7 is the right child of n 3 since module M 7 is the visible module over module M 3 and the two modules have the same x coordinate (n 7 = n 3 ). IV. DIFFERENTIAL EVOLUTIONARY ALGORITHM FOR VLSIFLOORPLANNING Researchers [10] proposed a new floating point encoded evolutionary algorithm for global optimization and named it Evolution (DE) algorithm. It has a special kind of differential operator, which they invoked to create new offspring from parent chromosomes instead of classical crossover or mutation. If the new parameter vector is accepted, automatically it reduces the objective function value. This method is usually named the greedy search. The convergence speed will be fast in the greedy local search method, but it can be trapped by local minima. This problem can be eliminated by running several vectors simultaneously. This is the main idea of DE algorithm. Even though many evolutionary algorithms like genetic algorithm versions have been developed, still it has a computational problem. In order to overcome this problem, the evolution strategy called DE algorithm has been proposed. It has been applied to our VLSI floorplanning problem to optimize the area and wirelength of the floorplan modules 4.1 Problem Description Let M = {M 0, M 1..., M n }be a set of n rectangular modules, and w i, h i and a i be the width, height and area of b i, 1 i n, respectively. The aspect ratio of b i is given by w i /h i. A placement is an assignment of the rectangular modules b i s with the coordinates of their bottom-left corners being allocated to (x i, y i ) s so that no two modules overlap. 4.2 Fitness Function Each individual in the population is an admissible floorplan represented by a B*-tree. The floorplanning objective is to minimize the area and the estimated wirelength. The floorplan objective function will be defined [20] as follows: area wirelength cos t( F ) 1 * 2 * area wirelength (2) In the above equation, area is the smallest rectangle including all the modules. wirelength which represents the interconnection costs is calculated by the semiperimeter method. Area * and wirelength * represents the minimal area and the interconnection costs, respectively. As the values in practice are not known, estimated values such as ω 1 and ω 2 are the weights assigned to the area minimization and the wirelength minimization objectives respectively, where 0 ω 1, ω 2 1, and The fitness of an individual (Floorplan) is defined as follows 1 Fitness( F) cos t( F) (3) Where F is the corresponding floorplan and the cost (F) is defined in Equation (2). 4.3 Initial Population An individual in the initial population is a B*-tree, it represents an admissible VLSI floorplan. An admissible B*-tree is constructed by a constructive algorithm. It is based on a deterministic algorithm. 4.4 Mutation The role of the mutation operation is to discover a new assuring search region by mutating the structure of an individual. The two mutation operators are used with the DE to discover new search regions that have different fitness distances from the mutating individual. Given an admissible floorplan represented as a B*-tree, the first mutation operator identifies the left branch and the right branch of the B*-tree, then swaps the two branches of the B*-tree (Fig.2). Observe that the mutated floorplan may not be admissible. Therefore, by moving the modules to the left-hand side and the bottom, the mutation operator makes it admissible. Figure.2 (a) Mutation Operator Op1 (b) Mutation Operator Op2 4.5 Crossover The target vector and the mutated vector are mixed. Given any two individuals as parents, both of which are admissible floorplans represented by B*-tree, the crossover operator transmits the important structural information from two parents to a child. First, a partial set of nodes from first parent is transferred to the offspring through this process. Hence, the child can inherit some properties from its parent. Second, the remaining nodes are arbitrarily selected from second parent. To generate a child C 1 from two parents P 1 and P 2, the crossovers selects the left branch from P1, copy them, and puts them in C1 (Fig.3). Then, the crossover operator makes a copy of P 2 and takes out those nodes that have been present already in C 1 and then the remaining structural components is

3 added to C 1. In this way, the generated child carries the significant structural information from the parents. Fig.3 illustrates the basic idea behind the crossover operator Figure.3 (a) Crossover - P1 Parent(b) Crossover - P2 Parent (c) Crossover - C1 Child 4.6 Selection The greedy selection scheme is used. If and only if the trial vector gives a good cost function value compared to the parameter vector, it is accepted as a new parent vector for the following new generation (G+1). Otherwise, the target vector is kept to serve as a parent vector for generating G+1 once again. V. DIFFERENTIAL EVOLUTIONARY ALGORITHM WITH CONSTRAINTS Based on the various constraints, circuit blocks/ip blocks will be placed on a chip in VLSI floorplanning process. Additional constraints are imposed on a subset of blocks that have to be located inside the chip in the real world floorplanning problem. Therefore it is need to allow users for specifying placement constraints during the floorplanning process. 5.1 Fitness Function The VLSI floorplanning objective is to minimize the area and the wire length. area wirelength cos t( F) ar 1 * 2 * (6) area wirelength ar is the aspect ratio to control the floorplan operations. The constraints function will be included in the objective function of this algorithm. The fitness of an individual (Floorplan) is defined as follows. 1 Fitness( F) constraintfunction (7) cos t( F) Where F is the corresponding floorplan and the cost (F) is defined in Equation (6). 5.2 VLSI Floorplanning with Constraints During the optimization algorithm, perturbation operation can be done in the B*tree. The following operations are required to perturb a B*-tree. Op1: Rotate a block. Op2: Flip a block. Op3: Move a block to another place. Op4: Swap two blocks. Op5: Move a set of alignment blocks to another place. The first four operations are very similar to the previous work and the last one is designed for alignment constraints. In Operation 5, a set of alignment blocks is moved to another place. The positions of the first pair of a dummy node and an alignment node change in the alignment shape. Then, other pairs of dummy node and alignment nodes have attached to the correct positions to maintain their shape. VI. THERMAL AWARE VLSI FLOORPLANNING In nanometer technology, the large number of transistors will be integrated in a single chip leads to high power dissipation. Another important factor that involves the temperature distribution of a chip is the lateral spreading of heat in silicon. This depends on the functional unit adjacency determined by the floorplan of the system blocks. Therefore, temperature based VLSI floorplanning designs have has enlarged importance and popularity in recent years. In this work, a new floorplanning method is presented to reduce the temperature of the chip. Temperature aware floorplanning is able to greatly improve the temperature distribution of the chip during block placement. The Hotspot thermal model [11] is used for temperature driven floorplanning. The HotSpot software tool calculates the temperature distribution among different blocks in a chip. The main objective of this work is to arrange the blocks in a floorplan such that the maximum temperature of the floorplan is reduced. The highpower density blocks are the cause of generating high temperature. Also, if two or higher power density blocks come besides each other, they might create a hotspot and would result in high temperature. In order to reduce the maximum temperature of the floorplan, the blocks with high-power density blocks should be placed away from each other as far as possible, so that high power density blocks would dissipate heat to the low-power density blocks. As a result, the maximum temperature is reduced. Thermal aware floorplanning makes use of the Hybrid Annealing (HSA) Algorithm and is used to reach a globally optimal solution. 6.1 Problem Description Let B = {b 1, b 2 b m } be a set of m rectangular modules with block b i of width w i, height h i, area a i, and an original power density P i, 1 <i<m. Each module is free to rotate. The goal of the thermal aware floorplanning algorithm is to optimize chip area, wirelength and peak/average temperatures across the chip. The hotspot in a modern chip have a temperature of more than 100 C while the intra chip temperature differentials is larger than 10~20 C. Temperature can have a staged impact on circuit performance, power, and reliability. Therefore, it is very important to eliminate hotspots and have a thermal balanced design in VLSI circuits. This proposed work uses a B*-tree for representing floorplan structure to distribute the temperature evenly across a module (Fig.4). Figure.4 B*-tree Representation for Cooling Modules 6.2 Fitness Function Thermal aware floorplanning is a Multi-objective optimization problem. The parameters are area, wire length and temperature. The cost function is given in

4 the following equation. area wirelength Temp Cost (F) ar 1area* 2 wirelength* 3 Temp* (8) Where area, wirelength and Temp are the total area, wirelength and the maximum temperature respectively. Let Area *, Wire * and Temp * are the average value of the area, wire length and temperature based on the randomly generated 1000 kinds of floorplan calculation. Parameter ar is used to control the floorplan aspect ratio. 6.3 Hybrid Annealing Algorithm First, initial B*-tree is generated using new constructive method in the HSA. Then, the local search method is used in the HSA to exploit local information in the search region. Afterward, a new operation on the B*-tree can be done by swapping two sub trees in the B*-tree to generate a new configuration. Finally, a novel bias search approach is used to determine if the new configuration could be accepted for balancing the global exploration and local exploitation. VII. EXPERIMENTAL RESULTS The experimental setup is as follows. The DE floorplanning algorithm is implemented in C++ programming language on an Intel Core i5 with 2.10 GHz.The DE algorithm is run to regenerate the outputs and compared the results with the previous evolutionary algorithms. For the DE, the population size (NP) is set to 100, the probability of crossover (CR) is 0.9, and a scaling factor (F) is 0.5. In this experiment, the weight to the area and the interconnection minimization objective was set to 1. The circuit characteristics of Microelectronics Center of North Carolina (MCNC) are presented in Table 2.1 respectively. TABLE 2: THE STANDARD MCNC BENCHMARK CIRCUITS Circuit # of #of # of i/o # of Area modules nets pads pins Apte xerox Hp ami ami TABLE3: AREA COMPARISONS BETWEEN VARIOUS OPTIMIZATION ALGORITHMS MCNC benchmarks Circuits Modules# annealing area Non slicing(b*-tree) Previous algorithms Evolutionary simulated annealing Hybrid simulated annealing Hybrid genetic algorithm Proposed Method evolution area apte xerox hp ami ami TABLE4: WIRE LENGTH COMPARISON BETWEEN VARIOUS OPTIMIZATION ALGORITHMS MCNC Benchmarks Circuits Modules# Annealing Fast SA Non Slicing (B*-tree) Previous algorithms Hybrid annealing Evolutionary Annealing ProposedMethod Evolution apte xerox hp ami ami TABLE5: RUNTIME COMPARISONS BETWEEN VARIOUS OPTIMIZATION ALGORITHMS MCNC Benchmarks Circuits Modules# Annealing (sec) Fast SA (sec) Non Slicing (B*-tree) Previous research Hybrid Annealing (sec) SA embedded in Tabu search (sec) apte xerox hp ami ami Proposed method Evolution (sec)

5 Table 3 & 4shows the area and wirelength comparison between various evolutionary algorithms with proposed DE algorithm. It gives the better area optimization result (2.27%) compared to the other optimization algorithms. When compared the wire length metric, it provides the best optimization (87.71%) related to the SA algorithm only. Table 5 exhibit the runtime comparison between the optimization algorithms. It clearly shows that the DE algorithm has a less computation time with other algorithms. Because the DE algorithm has a few control parameters to find an optimal function. The proposed DE algorithm with alignment and performance constraints have compared with SA framework as shown in Table 6 & 7. The proposed approach adopts a DE algorithm without resorting to floorplan representations, and the placement constraints of the buses are satisfied during the block-packing process. The following notations will be used in the benchmark circuits: xerox-1 and hp-1 contains four alignment blocks only. Xerox-2 and hp-2 contains four alignment blocks and two performance blocks. ami33-1 and ami49-1 consists of four and five alignment blocks ami33-2 and ami49-2 contains four alignments and three performance blocks. Table 6 and 7 compares area (2.05%) and runtime (43.99%) between SA and DE with alignment and performance constraints. Table 8 represents the wire length estimation of the algorithm. When the performance blocks are used, it reduces the critical net delay and it leads to decrease in the total wire length of the module. TABLE 6: AREA ESTIMATION WITH ALIGNMENT AND PERFORMANCE CONSTRAINTS Circuit Blocks Constrained blocks Proposed Annealing Evolution Area Area Align Perf apte xerox xerox hp hp ami ami ami ami ami TABLE7: RUNTIME ESTIMATION WITH ALIGNMENT AND PERFORMANCE CONSTRAINTS Circuit Blocks Constrained blocks Align Perf Proposed Annealing Evolution Run Time(sec) Run Time(sec) Apte xerox xerox hp hp ami ami ami ami ami TABLE8: WIRELENGTH ESTIMATION WITH ALIGNMENT AND PERFORMANCE CONSTRAINTS Ours; Constrained blocks Circuit Blocks Evolution Align Perf Wire length Apte xerox xerox hp hp ami ami ami ami ami Figure.5The Result packing of ami33-2. And ami49-3 (a) (b) Figure.6 Floorplan and Distribution of the (a) apte (b) Xerox (c) (c) hp Benchmark Circuit HotSpot tool calculates the maximum temperature of the floorplan and uses this maximum temperature as one of the objectives in HSA algorithm in the floorplanning process. Maximum temperature of a floorplan with hot blocks placed besides cooler blocks is relatively lesser than the maximum temperature of a floorplan with hot blocks placed besides each other. Since this maximum temperature is used to optimize the objective function of the algorithm, the floorplanner tries to push away the hot blocks as far as possible from each other. Fig.7 shown below gives the optimum floorplan that is temperature-aware using HSA algorithm. The maximum temperature of the chip reduces considerably when thermal aware floor planning is used, in comparison to normal floorplanning or floor planning without temperature factor. Fig.8 (a) & (b) shows the normalized reduction of maximum chip temperature in various benchmarks.

6 (a) (b) figure.7 temperature aware floorplan for (a) apte (b) xerox (c) (c) hp Benchmark Circuit (a) (b) Figure.8(a) Comparison of the Peak Temperature of the MCNC Benchmark Circuit (b) VIII. CONCLUSION In the first step, a novel DE algorithm based on B*-tree representation has been proposed for non-sliceable floorplanning problem. From the comparison results of different algorithms with our proposed algorithm using MCNC benchmarks, it is clear that the DE algorithm shows the better optimized results in the area and computational time. Then, our proposed DE algorithm guarantees a feasible placement solution with alignment constraints and generates a good placement with performance constraints during each operation. The advantage of using DE is the reduction of computation time by proposing a trial solution (with all constraints) which does not need evaluating the objective function every time. Furthermore, a novel thermal aware floorplanning method using a HSA algorithm has been implemented.the optimized floorplan is obtained and separates available two hot modules to decrease the overall die temperature. The next research direction is that how to make 3-D floorplanning both temperature-aware and leakage-aware is a good topic. REFERENCES [1]. Skadron, K, Stan, M, Velusamy, S &Sankaranarayanan, K 2005, A case for thermal-aware Floorplanning at the microarchitectural level, Journal of Instruction-Level Parallelism, pp.8-1. [2]. Chang, YC, Chang, YW, Wu, GM & Wu, SW 2000, B*-tree: A New representations for non slicing floorplans, Proceedings of ACM/IEEE Design Automation Conference, LosAngles, pp [3]. Tung- Chieh Chen & Yao-Wen Chang 2006, Modern Floorplanning based on B* tree and Fast Annealing, IEEE Transactions on Computer Aided Design of Integrated circuits and systems, vol. 25, no. 4, pp [4]. Maolin Tang & Xin Yao 2007, A memetic algorithm for VLSI Floorplanning, IEEE Transactions on Systems, Man, and Cybernetics, Part B, vol. 37, no. 1, pp [5]. Jiarui Chen &Jianli Chen 2010, A Hybrid Evolution Algorithm for VLSI Floor planning, Proceeding of IEEE Design Automation Conference in Computational Intelligence and Software Engineering, pp [6]. Liu, Y, Dick, RP, Shang, L & Yang, H 2007, Accurate temperature-dependent integrated circuit leakage power estimation is easy, Proceedings of the conference on Design, Automation and Test in Europe, pp [7]. Ying-Chieh Chen &Yiming Li 2010, Temperature-aware floorplanning via geometric programming, Mathematical and Computer Modelling, vol. 51, no.7-8, pp [8]. Lixia Qi, Yinshui Xia &Lunyao Wang 2011, Annealing Based Thermal-Aware Floorplanning Proceedings of International Conference on Electronics, Communications and Control (ICECC), pp [9]. Po-Hsun Wu & Tsung-Yi Ho 2012, Bus-driven Floorplanning with bus pin assignment and deviation minimization, Integration the VLSI Journal, vol.45, no.4, pp [10]. Price, KV 1999, An Introduction to Evolution. In: Corne, D., Dorigo, M. and Glover, F. (Eds.). New Ideas in Optimization, McGraw-Hill, London. ISBN , pp [11]. Skadron, K, Stan, M, Velusamy, S &Sankaranarayanan, K 2005, A case for thermal-aware Floorplanning at the microarchitectural level, Journal of Instruction-Level Parallelism, pp.8-1. [12]. Young, FY & Wong, DF 1997, How Good are Slicing floorplans, Integration the VLSI Journal, vol.23, pp [13]. Young, FY & Wong, DF 1998, Slicing floor plans with preplaced modules, Proceedings of ICCAD, pp [14]. Young, FY & Wong, DF 1999, Slicing floorplans with boundary constraint, Proceedings of Asia and South Pacific Design Automation Conference, pp [15]. Young, FY, Wong DF & Yang, H 2001, On extending slicing floorplan to handlel/t-shaped modules and abutment constraints, Proceedings of IEEE TCAD, vol. 20, no.6, pp [16]. Rong Luo & Peng Sun 2007, A Novel Ant Colony Optimization Based Temperature-Aware Floorplanning Algorithm, Proceedings of Third International Conference on Natural Computation,vol.4, pp [17]. Hu, TC &Kuh, ES 1985, VLSI circuit layout: Theory and design, IEEE Press, New York, USA. [18]. Wan-Ping Lee, Hung-Yi Liu& Yao-Wen Chang 2009, Voltage-Island Partitioning and Floorplanning under Timing Constraints, IEEE Transactions on CAD of Integrated Circuits and Systems,vol.28, no. 5, pp [19]. Jackey ZY & Chris Chu 2010, DeFer: Deferred Decision Making Enabled Fixed-Outline Floorplanning Algorithm, IEEE Transactions on computer-aided design of integrated circuits and systems, vol. 29, no. 3, pp [20]. Rawat, CD, Anmol Shahani, NitishNatu, Abbas Badami, &RonakHingorani 2012, A Genetic Algorithm For VLSI Floorplanning, International Journal Of Engineering Science & Advanced Technology, vol. 2, no.3, pp

A GENETIC ALGORITHM BASED APPROACH TO SOLVE VLSI FLOORPLANNING PROBLEM

A GENETIC ALGORITHM BASED APPROACH TO SOLVE VLSI FLOORPLANNING PROBLEM International Journal of Computer Engineering & Technology (IJCET) Volume 9, Issue 6, November-December2018, pp. 46 54, Article ID: IJCET_09_06_006 Available online at http://www.iaeme.com/ijcet/issues.asp?jtype=ijcet&vtype=9&itype=6

More information

Satisfiability Modulo Theory based Methodology for Floorplanning in VLSI Circuits

Satisfiability Modulo Theory based Methodology for Floorplanning in VLSI Circuits Satisfiability Modulo Theory based Methodology for Floorplanning in VLSI Circuits Suchandra Banerjee Anand Ratna Suchismita Roy mailnmeetsuchandra@gmail.com pacific.anand17@hotmail.com suchismita27@yahoo.com

More information

Floorplan and Power/Ground Network Co-Synthesis for Fast Design Convergence

Floorplan and Power/Ground Network Co-Synthesis for Fast Design Convergence Floorplan and Power/Ground Network Co-Synthesis for Fast Design Convergence Chen-Wei Liu 12 and Yao-Wen Chang 2 1 Synopsys Taiwan Limited 2 Department of Electrical Engineering National Taiwan University,

More information

AN ENTROPY BASED GENETIC ALGORITHM TO SIMULTANEOUSLY MINIMIZE AREA AND WIRELENGTH FOR VLSI FLOORPLANNING PROBLEM

AN ENTROPY BASED GENETIC ALGORITHM TO SIMULTANEOUSLY MINIMIZE AREA AND WIRELENGTH FOR VLSI FLOORPLANNING PROBLEM International Journal of Advanced Research in Engineering and Technology (IJARET) Volume 9, Issue 6, November-December 2018, pp. 30 39, Article ID: IJARET_09_06_004 Available online at http://www.iaeme.com/ijaret/issues.asp?jtype=ijaret&vtype=9&itype=6

More information

Non-Rectangular Shaping and Sizing of Soft Modules for Floorplan Design Improvement

Non-Rectangular Shaping and Sizing of Soft Modules for Floorplan Design Improvement Non-Rectangular Shaping and Sizing of Soft Modules for Floorplan Design Improvement Chris C.N. Chu and Evangeline F.Y. Young Abstract Many previous works on floorplanning with non-rectangular modules [,,,,,,,,,,,

More information

Constraint-Driven Floorplanning based on Genetic Algorithm

Constraint-Driven Floorplanning based on Genetic Algorithm Proceedings of the 2007 WSEAS International Conference on Computer Engineering and Applications, Gold Coast, Australia, January 17-19, 2007 147 Constraint-Driven Floorplanning based on Genetic Algorithm

More information

Floorplan considering interconnection between different clock domains

Floorplan considering interconnection between different clock domains Proceedings of the 11th WSEAS International Conference on CIRCUITS, Agios Nikolaos, Crete Island, Greece, July 23-25, 2007 115 Floorplan considering interconnection between different clock domains Linkai

More information

Introduction. A very important step in physical design cycle. It is the process of arranging a set of modules on the layout surface.

Introduction. A very important step in physical design cycle. It is the process of arranging a set of modules on the layout surface. Placement Introduction A very important step in physical design cycle. A poor placement requires larger area. Also results in performance degradation. It is the process of arranging a set of modules on

More information

Layout Problem Optimization in VLSI Circuits Using Genetic Algorithm

Layout Problem Optimization in VLSI Circuits Using Genetic Algorithm Layout Problem Optimization in VLSI Circuits Using Genetic Algorithm J.Allwyn Vinoth* 1, K.Batri* 2 Abstract- Very-large-scale-integration (VLSI) is defined as a technology that allows the construction

More information

TCG-Based Multi-Bend Bus Driven Floorplanning

TCG-Based Multi-Bend Bus Driven Floorplanning TCG-Based Multi-Bend Bus Driven Floorplanning Tilen Ma Department of CSE The Chinese University of Hong Kong Shatin, N.T. Hong Kong Evangeline F.Y. Young Department of CSE The Chinese University of Hong

More information

An Enhanced Congestion-Driven Floorplanner

An Enhanced Congestion-Driven Floorplanner An Enhanced Congestion-Driven Floorplanner Yu-Cheng Lin 1 Shin-Jia Chen 1 1 Hsin-Hsiung Huang 2 1 Dept. of Information and Electronic Commerce, Kainan University, Taoyuan, Taiwan 2 Dept. of EE., Lunghwa

More information

An Enhanced Perturbing Algorithm for Floorplan Design Using the O-tree Representation*

An Enhanced Perturbing Algorithm for Floorplan Design Using the O-tree Representation* An Enhanced Perturbing Algorithm for Floorplan Design Using the O-tree Representation* Yingxin Pang Dept.ofCSE Univ. of California, San Diego La Jolla, CA 92093 ypang@cs.ucsd.edu Chung-Kuan Cheng Dept.ofCSE

More information

CAD Algorithms. Placement and Floorplanning

CAD Algorithms. Placement and Floorplanning CAD Algorithms Placement Mohammad Tehranipoor ECE Department 4 November 2008 1 Placement and Floorplanning Layout maps the structural representation of circuit into a physical representation Physical representation:

More information

Slicing Floorplan With Clustering Constraint

Slicing Floorplan With Clustering Constraint 652 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 22, NO. 5, MAY 2003 the cluster(v) if the area of cluster(v) [ group(u; w) does not exceed the area constraint M.

More information

A Linear Programming-Based Algorithm for Floorplanning in VLSI Design

A Linear Programming-Based Algorithm for Floorplanning in VLSI Design 584 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 22, NO. 5, MAY 2003 A Linear Programming-Based Algorithm for Floorplanning in VLSI Design Jae-Gon Kim and Yeong-Dae

More information

Genetic Algorithm for Circuit Partitioning

Genetic Algorithm for Circuit Partitioning Genetic Algorithm for Circuit Partitioning ZOLTAN BARUCH, OCTAVIAN CREŢ, KALMAN PUSZTAI Computer Science Department, Technical University of Cluj-Napoca, 26, Bariţiu St., 3400 Cluj-Napoca, Romania {Zoltan.Baruch,

More information

A Genetic Algorithm for VLSI Floorplanning

A Genetic Algorithm for VLSI Floorplanning A Genetic Algorithm for VLSI Floorplanning Christine L. Valenzuela (Mumford) 1 and Pearl Y. Wang 2 1 Cardiff School of Computer Science & Informatics, Cardiff University, UK. C.L.Mumford@cs.cardiff.ac.uk

More information

Floorplan Area Minimization using Lagrangian Relaxation

Floorplan Area Minimization using Lagrangian Relaxation Floorplan Area Minimization using Lagrangian Relaxation F.Y. Young 1, Chris C.N. Chu 2, W.S. Luk 3 and Y.C. Wong 3 1 Department of Computer Science and Engineering The Chinese University of Hong Kong New

More information

Placement Algorithm for FPGA Circuits

Placement Algorithm for FPGA Circuits Placement Algorithm for FPGA Circuits ZOLTAN BARUCH, OCTAVIAN CREŢ, KALMAN PUSZTAI Computer Science Department, Technical University of Cluj-Napoca, 26, Bariţiu St., 3400 Cluj-Napoca, Romania {Zoltan.Baruch,

More information

On Increasing Signal Integrity with Minimal Decap Insertion in Area-Array SoC Floorplan Design

On Increasing Signal Integrity with Minimal Decap Insertion in Area-Array SoC Floorplan Design On Increasing Signal Integrity with Minimal Decap Insertion in Area-Array SoC Floorplan Design Chao-Hung Lu Department of Electrical Engineering National Central University Taoyuan, Taiwan, R.O.C. Email:

More information

Genetic Placement: Genie Algorithm Way Sern Shong ECE556 Final Project Fall 2004

Genetic Placement: Genie Algorithm Way Sern Shong ECE556 Final Project Fall 2004 Genetic Placement: Genie Algorithm Way Sern Shong ECE556 Final Project Fall 2004 Introduction Overview One of the principle problems in VLSI chip design is the layout problem. The layout problem is complex

More information

Introduction VLSI PHYSICAL DESIGN AUTOMATION

Introduction VLSI PHYSICAL DESIGN AUTOMATION VLSI PHYSICAL DESIGN AUTOMATION PROF. INDRANIL SENGUPTA DEPARTMENT OF COMPUTER SCIENCE AND ENGINEERING Introduction Main steps in VLSI physical design 1. Partitioning and Floorplanning l 2. Placement 3.

More information

Genetic Algorithm for FPGA Placement

Genetic Algorithm for FPGA Placement Genetic Algorithm for FPGA Placement Zoltan Baruch, Octavian Creţ, and Horia Giurgiu Computer Science Department, Technical University of Cluj-Napoca, 26, Bariţiu St., 3400 Cluj-Napoca, Romania {Zoltan.Baruch,

More information

Integrated Floorplanning with Buffer/Channel Insertion for Bus-Based Microprocessor Designs 1

Integrated Floorplanning with Buffer/Channel Insertion for Bus-Based Microprocessor Designs 1 Integrated Floorplanning with Buffer/ for Bus-Based Microprocessor Designs 1 Faran Rafiq Intel Microlectronics Services, 20325 NW Von Neumann Dr. AG3-318, Beaverton, OR 97006 faran.rafiq@intel.com Malgorzata

More information

A Hierarchical Bin-Based Legalizer for Standard-Cell Designs with Minimal Disturbance

A Hierarchical Bin-Based Legalizer for Standard-Cell Designs with Minimal Disturbance A Hierarchical Bin-Based Legalizer for Standard- Designs with Minimal Disturbance Yu-Min Lee, Tsung-You Wu, and Po-Yi Chiang Department of Electrical Engineering National Chiao Tung University ASPDAC,

More information

Optimal Facility Layout Problem Solution Using Genetic Algorithm

Optimal Facility Layout Problem Solution Using Genetic Algorithm Optimal Facility Layout Problem Solution Using Genetic Algorithm Maricar G. Misola and Bryan B. Navarro Abstract Facility Layout Problem (FLP) is one of the essential problems of several types of manufacturing

More information

Evolutionary Computation Algorithms for Cryptanalysis: A Study

Evolutionary Computation Algorithms for Cryptanalysis: A Study Evolutionary Computation Algorithms for Cryptanalysis: A Study Poonam Garg Information Technology and Management Dept. Institute of Management Technology Ghaziabad, India pgarg@imt.edu Abstract The cryptanalysis

More information

Term Paper for EE 680 Computer Aided Design of Digital Systems I Timber Wolf Algorithm for Placement. Imran M. Rizvi John Antony K.

Term Paper for EE 680 Computer Aided Design of Digital Systems I Timber Wolf Algorithm for Placement. Imran M. Rizvi John Antony K. Term Paper for EE 680 Computer Aided Design of Digital Systems I Timber Wolf Algorithm for Placement By Imran M. Rizvi John Antony K. Manavalan TimberWolf Algorithm for Placement Abstract: Our goal was

More information

Parallel Simulated Annealing for VLSI Cell Placement Problem

Parallel Simulated Annealing for VLSI Cell Placement Problem Parallel Simulated Annealing for VLSI Cell Placement Problem Atanu Roy Karthik Ganesan Pillai Department Computer Science Montana State University Bozeman {atanu.roy, k.ganeshanpillai}@cs.montana.edu VLSI

More information

Non-deterministic Search techniques. Emma Hart

Non-deterministic Search techniques. Emma Hart Non-deterministic Search techniques Emma Hart Why do local search? Many real problems are too hard to solve with exact (deterministic) techniques Modern, non-deterministic techniques offer ways of getting

More information

Three-Dimensional Cylindrical Model for Single-Row Dynamic Routing

Three-Dimensional Cylindrical Model for Single-Row Dynamic Routing MATEMATIKA, 2014, Volume 30, Number 1a, 30-43 Department of Mathematics, UTM. Three-Dimensional Cylindrical Model for Single-Row Dynamic Routing 1 Noraziah Adzhar and 1,2 Shaharuddin Salleh 1 Department

More information

CHAPTER 6 ORTHOGONAL PARTICLE SWARM OPTIMIZATION

CHAPTER 6 ORTHOGONAL PARTICLE SWARM OPTIMIZATION 131 CHAPTER 6 ORTHOGONAL PARTICLE SWARM OPTIMIZATION 6.1 INTRODUCTION The Orthogonal arrays are helpful in guiding the heuristic algorithms to obtain a good solution when applied to NP-hard problems. This

More information

An Automated System for Checking Lithography Friendliness of Standard Cells

An Automated System for Checking Lithography Friendliness of Standard Cells An Automated System for Checking Lithography Friendliness of Standard Cells I-Lun Tseng, Senior Member, IEEE, Yongfu Li, Senior Member, IEEE, Valerio Perez, Vikas Tripathi, Zhao Chuan Lee, and Jonathan

More information

Routability-Driven Bump Assignment for Chip-Package Co-Design

Routability-Driven Bump Assignment for Chip-Package Co-Design 1 Routability-Driven Bump Assignment for Chip-Package Co-Design Presenter: Hung-Ming Chen Outline 2 Introduction Motivation Previous works Our contributions Preliminary Problem formulation Bump assignment

More information

1120 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 11, NO. 6, DECEMBER 2003

1120 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 11, NO. 6, DECEMBER 2003 1120 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 11, NO. 6, DECEMBER 2003 Fixed-Outline Floorplanning: Enabling Hierarchical Design Saurabh N. Adya, Member, IEEE, and Igor L.

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information

AN ACCELERATOR FOR FPGA PLACEMENT

AN ACCELERATOR FOR FPGA PLACEMENT AN ACCELERATOR FOR FPGA PLACEMENT Pritha Banerjee and Susmita Sur-Kolay * Abstract In this paper, we propose a constructive heuristic for initial placement of a given netlist of CLBs on a FPGA, in order

More information

An Effective Decap Insertion Method Considering Power Supply Noise during Floorplanning *

An Effective Decap Insertion Method Considering Power Supply Noise during Floorplanning * JOURNAL OF INFORMATION SCIENCE AND ENGINEERING 24, 115-127 (2008) Short Paper An Effective Decap Insertion Method Considering Power Supply Noise during Floorplanning * CHAO-HUNG LU, HUNG-MING CHEN ** AND

More information

PLACEMENT OF TSVS IN THREE DIMENSIONAL INTEGRATED CIRCUITS (3D IC) College of Engineering, Madurai, India.

PLACEMENT OF TSVS IN THREE DIMENSIONAL INTEGRATED CIRCUITS (3D IC) College of Engineering, Madurai, India. Volume 117 No. 16 2017, 179-184 ISSN: 1311-8080 (printed version); ISSN: 1314-3395 (on-line version) url: http://www.ijpam.eu ijpam.eu PLACEMENT OF TSVS IN THREE DIMENSIONAL INTEGRATED CIRCUITS (3D IC)

More information

Wojciech P. Maly Department of Electrical and Computer Engineering Carnegie Mellon University 5000 Forbes Ave. Pittsburgh, PA

Wojciech P. Maly Department of Electrical and Computer Engineering Carnegie Mellon University 5000 Forbes Ave. Pittsburgh, PA Interconnect Characteristics of 2.5-D System Integration Scheme Yangdong Deng Department of Electrical and Computer Engineering Carnegie Mellon University 5000 Forbes Ave. Pittsburgh, PA 15213 412-268-5234

More information

Network Routing Protocol using Genetic Algorithms

Network Routing Protocol using Genetic Algorithms International Journal of Electrical & Computer Sciences IJECS-IJENS Vol:0 No:02 40 Network Routing Protocol using Genetic Algorithms Gihan Nagib and Wahied G. Ali Abstract This paper aims to develop a

More information

Modular Placement for Interposer based Multi-FPGA Systems

Modular Placement for Interposer based Multi-FPGA Systems Modular Placement for Interposer based Multi-FPGA Systems Fubing Mao 1, Wei Zhang 2, Bo Feng 3, Bingsheng He 1, Yuchun Ma 3 1 School of Computer Engineering, Nanyang Technological University, Singapore

More information

BI-OBJECTIVE EVOLUTIONARY ALGORITHM FOR FLEXIBLE JOB-SHOP SCHEDULING PROBLEM. Minimizing Make Span and the Total Workload of Machines

BI-OBJECTIVE EVOLUTIONARY ALGORITHM FOR FLEXIBLE JOB-SHOP SCHEDULING PROBLEM. Minimizing Make Span and the Total Workload of Machines International Journal of Mathematics and Computer Applications Research (IJMCAR) ISSN 2249-6955 Vol. 2 Issue 4 Dec - 2012 25-32 TJPRC Pvt. Ltd., BI-OBJECTIVE EVOLUTIONARY ALGORITHM FOR FLEXIBLE JOB-SHOP

More information

Constructive floorplanning with a yield objective

Constructive floorplanning with a yield objective Constructive floorplanning with a yield objective Rajnish Prasad and Israel Koren Department of Electrical and Computer Engineering University of Massachusetts, Amherst, MA 13 E-mail: rprasad,koren@ecs.umass.edu

More information

A HYBRID GENETIC ALGORITHM A NEW APPROACH TO SOLVE TRAVELING SALESMAN PROBLEM

A HYBRID GENETIC ALGORITHM A NEW APPROACH TO SOLVE TRAVELING SALESMAN PROBLEM A HYBRID GENETIC ALGORITHM A NEW APPROACH TO SOLVE TRAVELING SALESMAN PROBLEM G.ANDAL JAYALAKSHMI Computer Science and Engineering Department, Thiagarajar College of Engineering, Madurai, Tamilnadu, India

More information

Performance Assessment of DMOEA-DD with CEC 2009 MOEA Competition Test Instances

Performance Assessment of DMOEA-DD with CEC 2009 MOEA Competition Test Instances Performance Assessment of DMOEA-DD with CEC 2009 MOEA Competition Test Instances Minzhong Liu, Xiufen Zou, Yu Chen, Zhijian Wu Abstract In this paper, the DMOEA-DD, which is an improvement of DMOEA[1,

More information

Using Genetic Algorithms to Solve the Box Stacking Problem

Using Genetic Algorithms to Solve the Box Stacking Problem Using Genetic Algorithms to Solve the Box Stacking Problem Jenniffer Estrada, Kris Lee, Ryan Edgar October 7th, 2010 Abstract The box stacking or strip stacking problem is exceedingly difficult to solve

More information

Circuit Model for Interconnect Crosstalk Noise Estimation in High Speed Integrated Circuits

Circuit Model for Interconnect Crosstalk Noise Estimation in High Speed Integrated Circuits Advance in Electronic and Electric Engineering. ISSN 2231-1297, Volume 3, Number 8 (2013), pp. 907-912 Research India Publications http://www.ripublication.com/aeee.htm Circuit Model for Interconnect Crosstalk

More information

A Unified Method to Handle Different Kinds of Placement Constraints in Floorplan Design

A Unified Method to Handle Different Kinds of Placement Constraints in Floorplan Design Unified Method to Handle Different Kinds of Placement Constraints in Floorplan Design *Evangeline F.Y. Young **Chris C.N. Chu *M.L. Ho *Dept. of Computer Science and Engineering The Chinese University

More information

DUE TO the wide use of Intellectual Property modules and

DUE TO the wide use of Intellectual Property modules and IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 27, NO. 9, SEPTEMBER 2008 1621 MP-Trees: A Packing-Based Macro Placement Algorithm for Modern Mixed-Size Designs Tung-Chieh

More information

A buffer planning algorithm for chip-level floorplanning

A buffer planning algorithm for chip-level floorplanning Science in China Ser. F Information Sciences 2004 Vol.47 No.6 763 776 763 A buffer planning algorithm for chip-level floorplanning CHEN Song 1, HONG Xianlong 1, DONG Sheqin 1, MA Yuchun 1, CAI Yici 1,

More information

Animation of VLSI CAD Algorithms A Case Study

Animation of VLSI CAD Algorithms A Case Study Session 2220 Animation of VLSI CAD Algorithms A Case Study John A. Nestor Department of Electrical and Computer Engineering Lafayette College Abstract The design of modern VLSI chips requires the extensive

More information

Metal-Density Driven Placement for CMP Variation and Routability

Metal-Density Driven Placement for CMP Variation and Routability Metal-Density Driven Placement for CMP Variation and Routability ISPD-2008 Tung-Chieh Chen 1, Minsik Cho 2, David Z. Pan 2, and Yao-Wen Chang 1 1 Dept. of EE, National Taiwan University 2 Dept. of ECE,

More information

Estimation of Wirelength

Estimation of Wirelength Placement The process of arranging the circuit components on a layout surface. Inputs: A set of fixed modules, a netlist. Goal: Find the best position for each module on the chip according to appropriate

More information

Figure 4: Proposed Method

Figure 4: Proposed Method Volume No. 7 07, 9- ISSN: -8080 (printed version); ISSN: -9 (on-line version) url: http://www.ijpam.eu ijpam.eu AN METAHEURISTIC ALGORITHM FOR VLSI FLOORPLANNING PROBLEM S.Venkatraman, Dr.M.Sundhararajan

More information

Using Genetic Algorithms to optimize ACS-TSP

Using Genetic Algorithms to optimize ACS-TSP Using Genetic Algorithms to optimize ACS-TSP Marcin L. Pilat and Tony White School of Computer Science, Carleton University, 1125 Colonel By Drive, Ottawa, ON, K1S 5B6, Canada {mpilat,arpwhite}@scs.carleton.ca

More information

A Transistor-level Symmetrical Layout Generation for Analog Device

A Transistor-level Symmetrical Layout Generation for Analog Device R2-21 SASIMI 2012 Proceedings A Transistor-level Symmetrical Layout Generation for Analog Device Bo Yang, Qing Dong, Jing Li, Shigetoshi Nakatake Department of Information and Media Engineering, The University

More information

Practical Slicing and Non-slicing Block-Packing without Simulated Annealing

Practical Slicing and Non-slicing Block-Packing without Simulated Annealing Practical Slicing and Non-slicing Block-Packing without Simulated Annealing Hayward H. Chan Igor L. Markov Department of Electrical Engineering and Computer Science The University of Michigan, Ann Arbor,

More information

COMPARATIVE STUDY OF CIRCUIT PARTITIONING ALGORITHMS

COMPARATIVE STUDY OF CIRCUIT PARTITIONING ALGORITHMS COMPARATIVE STUDY OF CIRCUIT PARTITIONING ALGORITHMS Zoltan Baruch 1, Octavian Creţ 2, Kalman Pusztai 3 1 PhD, Lecturer, Technical University of Cluj-Napoca, Romania 2 Assistant, Technical University of

More information

Unification of Partitioning, Placement and Floorplanning. Saurabh N. Adya, Shubhyant Chaturvedi, Jarrod A. Roy, David A. Papa, and Igor L.

Unification of Partitioning, Placement and Floorplanning. Saurabh N. Adya, Shubhyant Chaturvedi, Jarrod A. Roy, David A. Papa, and Igor L. Unification of Partitioning, Placement and Floorplanning Saurabh N. Adya, Shubhyant Chaturvedi, Jarrod A. Roy, David A. Papa, and Igor L. Markov Outline Introduction Comparisons of classical techniques

More information

Research Incubator: Combinatorial Optimization. Dr. Lixin Tao December 9, 2003

Research Incubator: Combinatorial Optimization. Dr. Lixin Tao December 9, 2003 Research Incubator: Combinatorial Optimization Dr. Lixin Tao December 9, 23 Content General Nature of Research on Combinatorial Optimization Problem Identification and Abstraction Problem Properties and

More information

Double Patterning-Aware Detailed Routing with Mask Usage Balancing

Double Patterning-Aware Detailed Routing with Mask Usage Balancing Double Patterning-Aware Detailed Routing with Mask Usage Balancing Seong-I Lei Department of Computer Science National Tsing Hua University HsinChu, Taiwan Email: d9762804@oz.nthu.edu.tw Chris Chu Department

More information

A Genetic Algorithm for Graph Matching using Graph Node Characteristics 1 2

A Genetic Algorithm for Graph Matching using Graph Node Characteristics 1 2 Chapter 5 A Genetic Algorithm for Graph Matching using Graph Node Characteristics 1 2 Graph Matching has attracted the exploration of applying new computing paradigms because of the large number of applications

More information

ACCELERATING THE ANT COLONY OPTIMIZATION

ACCELERATING THE ANT COLONY OPTIMIZATION ACCELERATING THE ANT COLONY OPTIMIZATION BY SMART ANTS, USING GENETIC OPERATOR Hassan Ismkhan Department of Computer Engineering, University of Bonab, Bonab, East Azerbaijan, Iran H.Ismkhan@bonabu.ac.ir

More information

IEEE TRANSACTIONS ON EVOLUTIONARY COMPUTATION, VOL. 5, NO. 1, FEBRUARY

IEEE TRANSACTIONS ON EVOLUTIONARY COMPUTATION, VOL. 5, NO. 1, FEBRUARY IEEE TRANSACTIONS ON EVOLUTIONARY COMPUTATION, VOL. 5, NO. 1, FEBRUARY 2001 41 Brief Papers An Orthogonal Genetic Algorithm with Quantization for Global Numerical Optimization Yiu-Wing Leung, Senior Member,

More information

VERY large scale integration (VLSI) design for power

VERY large scale integration (VLSI) design for power IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 7, NO. 1, MARCH 1999 25 Short Papers Segmented Bus Design for Low-Power Systems J. Y. Chen, W. B. Jone, Member, IEEE, J. S. Wang,

More information

Escaping Local Optima: Genetic Algorithm

Escaping Local Optima: Genetic Algorithm Artificial Intelligence Escaping Local Optima: Genetic Algorithm Dae-Won Kim School of Computer Science & Engineering Chung-Ang University We re trying to escape local optima To achieve this, we have learned

More information

AIRFOIL SHAPE OPTIMIZATION USING EVOLUTIONARY ALGORITHMS

AIRFOIL SHAPE OPTIMIZATION USING EVOLUTIONARY ALGORITHMS AIRFOIL SHAPE OPTIMIZATION USING EVOLUTIONARY ALGORITHMS Emre Alpman Graduate Research Assistant Aerospace Engineering Department Pennstate University University Park, PA, 6802 Abstract A new methodology

More information

An Integrated Design Algorithm for Detailed Layouts Based on the Contour Distance

An Integrated Design Algorithm for Detailed Layouts Based on the Contour Distance An Integrated Design Algorithm for Detailed Layouts Based on the Contour Distance Jae-Gon Kim and Marc Goetschalckx School of Industrial and Systems Engineering Georgia Institute of Technology Atlanta,

More information

L14 - Placement and Routing

L14 - Placement and Routing L14 - Placement and Routing Ajay Joshi Massachusetts Institute of Technology RTL design flow HDL RTL Synthesis manual design Library/ module generators netlist Logic optimization a b 0 1 s d clk q netlist

More information

A Hybrid Genetic Algorithms and Tabu Search for Solving an Irregular Shape Strip Packing Problem

A Hybrid Genetic Algorithms and Tabu Search for Solving an Irregular Shape Strip Packing Problem A Hybrid Genetic Algorithms and Tabu Search for Solving an Irregular Shape Strip Packing Problem Kittipong Ekkachai 1 and Pradondet Nilagupta 2 ABSTRACT This paper presents a packing algorithm to solve

More information

FastPlace 2.0: An Efficient Analytical Placer for Mixed- Mode Designs

FastPlace 2.0: An Efficient Analytical Placer for Mixed- Mode Designs FastPlace.0: An Efficient Analytical Placer for Mixed- Mode Designs Natarajan Viswanathan Min Pan Chris Chu Iowa State University ASP-DAC 006 Work supported by SRC under Task ID: 106.001 Mixed-Mode Placement

More information

CHAPTER 2 CONVENTIONAL AND NON-CONVENTIONAL TECHNIQUES TO SOLVE ORPD PROBLEM

CHAPTER 2 CONVENTIONAL AND NON-CONVENTIONAL TECHNIQUES TO SOLVE ORPD PROBLEM 20 CHAPTER 2 CONVENTIONAL AND NON-CONVENTIONAL TECHNIQUES TO SOLVE ORPD PROBLEM 2.1 CLASSIFICATION OF CONVENTIONAL TECHNIQUES Classical optimization methods can be classified into two distinct groups:

More information

Recent Research and Emerging Challenges in Physical Design for Manufacturability/Reliability

Recent Research and Emerging Challenges in Physical Design for Manufacturability/Reliability Recent Research and Emerging Challenges in Physical Design for Manufacturability/Reliability Chung-Wei Lin 1, Ming-Chao Tsai 2, Kuang-Yao Lee 2, Tai-Chen Chen 1, Ting-Chi Wang 2, and Yao-Wen Chang 1 1

More information

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 19, NO. 2, FEBRUARY

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 19, NO. 2, FEBRUARY IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 19, NO. 2, FEBRUARY 2000 267 Short Papers Hypergraph Partitioning with Fixed Vertices Charles J. Alpert, Andrew E. Caldwell,

More information

Li Minqiang Institute of Systems Engineering Tianjin University, Tianjin , P.R. China

Li Minqiang Institute of Systems Engineering Tianjin University, Tianjin , P.R. China Multi-level Genetic Algorithm (MLGA) for the Construction of Clock Binary Tree Nan Guofang Tianjin University, Tianjin 07, gfnan@tju.edu.cn Li Minqiang Tianjin University, Tianjin 07, mqli@tju.edu.cn Kou

More information

Two Efficient Algorithms for VLSI Floorplanning. Chris Holmes Peter Sassone

Two Efficient Algorithms for VLSI Floorplanning. Chris Holmes Peter Sassone Two Efficient Algorithms for VLSI Floorplanning Chris Holmes Peter Sassone ECE 8823A July 26, 2002 1 Table of Contents 1. Introduction 2. Traditional Annealing 3. Enhanced Annealing 4. Contiguous Placement

More information

ICS 252 Introduction to Computer Design

ICS 252 Introduction to Computer Design ICS 252 Introduction to Computer Design Placement Fall 2007 Eli Bozorgzadeh Computer Science Department-UCI References and Copyright Textbooks referred (none required) [Mic94] G. De Micheli Synthesis and

More information

A Memetic Algorithm for Parallel Machine Scheduling

A Memetic Algorithm for Parallel Machine Scheduling A Memetic Algorithm for Parallel Machine Scheduling Serafettin Alpay Eskişehir Osmangazi University, Industrial Engineering Department, Eskisehir, Turkiye Abstract - This paper focuses on the problem of

More information

Fuzzy Inspired Hybrid Genetic Approach to Optimize Travelling Salesman Problem

Fuzzy Inspired Hybrid Genetic Approach to Optimize Travelling Salesman Problem Fuzzy Inspired Hybrid Genetic Approach to Optimize Travelling Salesman Problem Bindu Student, JMIT Radaur binduaahuja@gmail.com Mrs. Pinki Tanwar Asstt. Prof, CSE, JMIT Radaur pinki.tanwar@gmail.com Abstract

More information

Bus-Aware Microarchitectural Floorplanning

Bus-Aware Microarchitectural Floorplanning Bus-Aware Microarchitectural Floorplanning Dae Hyun Kim School of Electrical and Computer Engineering Georgia Institute of Technology daehyun@ece.gatech.edu Sung Kyu Lim School of Electrical and Computer

More information

Fixed-outline Floorplanning Through Better Local Search

Fixed-outline Floorplanning Through Better Local Search Fixed-outline Floorplanning Through Better Local Search Saurabh N. Adya and Igor L. Markov Univ. of Michigan, EECS department, Ann Arbor, MI 4819-2122 fsadya,imarkovg@eecs.umich.edu Abstract Classical

More information

Representing Topological Structures for 3-D Floorplanning

Representing Topological Structures for 3-D Floorplanning Representing Topological Structures for 3-D Floorplanning Renshen Wang 1 Evangeline Young 2 Chung-Kuan Cheng 1 1 2 University of California, San Diego The Chinese University of Hong Kong 1 Goal of Today

More information

CHAPTER 1 INTRODUCTION. equipment. Almost every digital appliance, like computer, camera, music player or

CHAPTER 1 INTRODUCTION. equipment. Almost every digital appliance, like computer, camera, music player or 1 CHAPTER 1 INTRODUCTION 1.1. Overview In the modern time, integrated circuit (chip) is widely applied in the electronic equipment. Almost every digital appliance, like computer, camera, music player or

More information

160 M. Nadjarbashi, S.M. Fakhraie and A. Kaviani Figure 2. LUTB structure. each block-level track can be arbitrarily connected to each of 16 4-LUT inp

160 M. Nadjarbashi, S.M. Fakhraie and A. Kaviani Figure 2. LUTB structure. each block-level track can be arbitrarily connected to each of 16 4-LUT inp Scientia Iranica, Vol. 11, No. 3, pp 159{164 c Sharif University of Technology, July 2004 On Routing Architecture for Hybrid FPGA M. Nadjarbashi, S.M. Fakhraie 1 and A. Kaviani 2 In this paper, the routing

More information

Effective Decap Insertion in Area-Array SoC Floorplan Design

Effective Decap Insertion in Area-Array SoC Floorplan Design Effective Decap Insertion in Area-Array SoC Floorplan Design CHAO-HUNG LU National Central University, Taoyuan, Taiwan HUNG-MING CHEN National Chiao Tung University, Hsinchu, Taiwan and CHIEN-NAN JIMMY

More information

Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing

Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing Umadevi.S #1, Vigneswaran.T #2 # Assistant Professor [Sr], School of Electronics Engineering, VIT University, Vandalur-

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 6 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Contents FPGA Technology Programmable logic Cell (PLC) Mux-based cells Look up table PLA

More information

GENETIC ALGORITHM BASED FPGA PLACEMENT ON GPU SUNDAR SRINIVASAN SENTHILKUMAR T. R.

GENETIC ALGORITHM BASED FPGA PLACEMENT ON GPU SUNDAR SRINIVASAN SENTHILKUMAR T. R. GENETIC ALGORITHM BASED FPGA PLACEMENT ON GPU SUNDAR SRINIVASAN SENTHILKUMAR T R FPGA PLACEMENT PROBLEM Input A technology mapped netlist of Configurable Logic Blocks (CLB) realizing a given circuit Output

More information

Multilayer Routing on Multichip Modules

Multilayer Routing on Multichip Modules Multilayer Routing on Multichip Modules ECE 1387F CAD for Digital Circuit Synthesis and Layout Professor Rose Friday, December 24, 1999. David Tam (2332 words, not counting title page and reference section)

More information

Abstraction and Optimization of Consistent Floorplanning with Pillar Block Constraints

Abstraction and Optimization of Consistent Floorplanning with Pillar Block Constraints Abstraction and Optimization of Consistent Floorplanning with Pillar Block Constraints Ning FU, Shigetoshi NAKATAKE, Yasuhiro TAKASHIMA, Yoji KAJITANI School of Environmental Engineering, University of

More information

Fixed-outline Floorplanning : Enabling Hierarchical Design

Fixed-outline Floorplanning : Enabling Hierarchical Design 1 Fixed-outline Floorplanning : Enabling Hierarchical Design Saurabh N. Adya, Member, IEEE, Igor L. Markov, Member, IEEE Abstract Classical floorplanning minimizes a linear combination of area and wirelength.

More information

Power-Mode-Aware Buffer Synthesis for Low-Power Clock Skew Minimization

Power-Mode-Aware Buffer Synthesis for Low-Power Clock Skew Minimization This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* Power-Mode-Aware Buffer Synthesis for Low-Power

More information

TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES

TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Kewal K. Saluja University of Wisconsin - Madison Motivation, Fault Models and some Callenges Overview Motivation Technology, Test cost, and VLSI realization

More information

An Effective Floorplan-Guided Placement Algorithm for Large-Scale Mixed-Size Designs

An Effective Floorplan-Guided Placement Algorithm for Large-Scale Mixed-Size Designs An Effective Floorplan-Guided Placement Algorithm for Large-Scale Mixed-Size Designs JACKEY Z. YAN, Cadence Design Systems NATARAJAN VISWANATHAN, IBM CHRIS CHU, Iowa State University In this article we

More information

OpenAccess In 3D IC Physical Design

OpenAccess In 3D IC Physical Design OpenAccess In 3D IC Physical Design Jason Cong, Jie Wei,, Yan Zhang VLSI CAD Lab Computer Science Department University of California, Los Angeles Supported by DARPA and CFD Research Corp Outline 3D IC

More information

ARTIFICIAL INTELLIGENCE (CSCU9YE ) LECTURE 5: EVOLUTIONARY ALGORITHMS

ARTIFICIAL INTELLIGENCE (CSCU9YE ) LECTURE 5: EVOLUTIONARY ALGORITHMS ARTIFICIAL INTELLIGENCE (CSCU9YE ) LECTURE 5: EVOLUTIONARY ALGORITHMS Gabriela Ochoa http://www.cs.stir.ac.uk/~goc/ OUTLINE Optimisation problems Optimisation & search Two Examples The knapsack problem

More information

A Hybrid Genetic Algorithm for the Distributed Permutation Flowshop Scheduling Problem Yan Li 1, a*, Zhigang Chen 2, b

A Hybrid Genetic Algorithm for the Distributed Permutation Flowshop Scheduling Problem Yan Li 1, a*, Zhigang Chen 2, b International Conference on Information Technology and Management Innovation (ICITMI 2015) A Hybrid Genetic Algorithm for the Distributed Permutation Flowshop Scheduling Problem Yan Li 1, a*, Zhigang Chen

More information

Genetic Algorithm for Job Shop Scheduling

Genetic Algorithm for Job Shop Scheduling Genetic Algorithm for Job Shop Scheduling Mr.P.P.Bhosale Department Of Computer Science and Engineering, SVERI s College Of Engineering Pandharpur, Solapur University Solapur Mr.Y.R.Kalshetty Department

More information

Thermal-Aware IP Virtualization and Placement for Networks-on-Chip Architecture

Thermal-Aware IP Virtualization and Placement for Networks-on-Chip Architecture Thermal-Aware IP Virtualization and Placement for Networks-on-Chip Architecture W. Hung, C. Addo-Quaye, T. Theocharides, Y. Xie, N. Vijaykrishnan, and M. J. Irwin Embedded & Mobile computing Design Center

More information