CHAPTER 1 INTRODUCTION. equipment. Almost every digital appliance, like computer, camera, music player or

Size: px
Start display at page:

Download "CHAPTER 1 INTRODUCTION. equipment. Almost every digital appliance, like computer, camera, music player or"

Transcription

1 1 CHAPTER 1 INTRODUCTION 1.1. Overview In the modern time, integrated circuit (chip) is widely applied in the electronic equipment. Almost every digital appliance, like computer, camera, music player or mobile phone, has one or several chips on its circuit board. Very Large Scale Integration (VLSI), in general, comprises over an excess of one million transistors, an incredible figure that could not have been imagined a decade ago. Though the complexity of the chip has compounded by a factor of 1000 since its first introduction, yet the term VLSI still remains to be accepted and denotes digital integrated systems with high complexity. Further, past few decades have witnessed an extraordinary increase in VLSI research. The Computer-Aided Design (CAD) has further aided the growth in the complexity and performance of integrated circuits in the VLSI technology. With such a phenomenal increase in complexity, it is more crucial than ever before to manage the design process, in order to maintain the reliability, quality, and extensibility of a given design. The process includes definition, execution and control of design methodologies in a flexible and configurable way [1], [2]. Speed of development in high-performance computing, telecommunications and consumer electronics in a rapidly changing market, developmental costs, and cost involved in case of mistakes, play a critical role in a commercial environment [3]. Hence, it requires designs that can be processed quickly, cheaply and mistakes brought to the forefront at the earliest, perhaps, before fabrication stage.

2 2 VLSI is preferred due to its many advantages: compactness, less area, physically smaller; higher speed, lower parasitic (reduced interconnection length); lower power consumption; and higher reliability, improved on-chip interconnects. In addition, VLSI integration significantly reduces manufacturing cost. Nevertheless, a few disadvantages, such as long design and fabrication time and higher risk to project with complexity of millions of components leads to the anticipation of fast computation and layouts close to optimality generation. The research and development of circuit layout (Physical Design) automation tools could pave a way for future growth of VLSI systems. The accepted norm about the layout of integrated circuits on chips and boards is that it is a complex process. Consequently, any problem arising as a result of optimization problems requires to be solved during the circuit layout, which is intractable [4], [5]. This refers to the fact that they are mostly Nondeterministic Polynomial (NP) - hard [6]. The major implication of this recourse is that the optimal solutions cannot be achieved in polynomial time VLSI Design Cycle The VLSI design pertains to design of a single integrated circuit to execute a complex digital function. Typically, the design process is an iterative process that fine-tunes an idea for a device which can be manufactured through various levels of design abstraction [7]. The process is elaborate and involves a series of steps that includes specification to fabrication, in which the integrated circuit is produced. Beginning with abstract requirements, the process involves converting these requirements into a register transfer description, e.g., control flow, registers and arithmetic and logical operations, which is simulated and tested. It is then moved to circuit representation involving gates, transistors

3 3 and interconnections. At this juncture, simulation is used to verify each component. Ultimately, the geometric layout of the chip is produced as geometric shapes typifying circuit elements and their interconnections. The blueprint of the layout, thus, intends to achieve area compactness and accuracy in routing and timing [8], [9]. The distinctive steps involved in VLSI design cycle are illustrated in Figure 1.1. These steps are system specification, functional design, logic design, circuit design, physical design, fabrication and testing. System Specification Behavioral Description High Level Synthesis Logic Synthesis Physical Design Fabrication / Testing Figure 1.1: VLSI design flow System specifications Design specifications are required to lay down the rules for the design. While working on the design, the main factors to be considered in this process include physical dimensions

4 4 (size of the chip), performance, functionality, choice of fabrication technology and design techniques [10]. The expected end results of the whole process are the specifications for the speed, size, functionality and power of the VLSI circuit Behavioral Description Behavioral description is then created to analyze the design in terms of functionality, performance, compliance to given standards, and other specifications. The outcome of this step is usually timing diagram or other relationships between sub-units. This phase is to improve the overall design process and reduce the complexity of the subsequent phases [11] High Level Synthesis Logic design step transforms the behavioral specification into a register transfer level (RTL) description that includes the word widths, control flow, register allocation, logic and arithmetic operations. Further, the functional units are expressed as primitive logic operations (NAND, NOT, etc.). This description can be represented in the form of a Hardware Description Language (HDL), namely Verilog and VHDL. The main objective of this step is to minimize the number of Boolean expressions Logic Synthesis Logic synthesis is a process by which an abstract form of desired circuit behavior. A technology-dependent description of the circuit is created, which transforms the logic expressions into a circuit representation with components, such as cells, macros, gates, transistors, and interconnections collected in a netlist. During implementation of some topologies, logic equations are broken down and mapped to available physical circuit

5 5 blocks in the circuit topology [12]. The correctness and timing of each component are verified by the logic synthesis Physical design Physical design is a step in the standard design cycle which follows after the circuit design. At this step, circuit representations of the components (devices and interconnects) of the design are converted into geometric representations of shapes which, when manufactured in the corresponding layers of materials, will ensure the required functioning of the components. This geometric representation is called integrated circuit layout. The final performance of the circuit is assessed through a compact arrangement of the area and accurate routing of wires [11]. Being an NP-hard problem, the physical design is further broken down into a number of sub-problems, which is denoted as partitioning, placement and routing. The focus of this research is to study the partitioning and placement [12] Fabrication and testing At last, the wafer is manufactured and diced in a fabrication facility. In order to ensure that the chips meet all the design and functional requirements, each chip is packaged and tested. Nevertheless, the success of the entire process strongly depends on the correlation between abstract models at the higher level and physical implementation at the lower level.

6 Physical Design Cycle The logic synthesis and circuit design results in the circuit components, which are extracted from a physical library and converted into rectangular shapes with fixed dimensions. The circuit components are called as cells or modules and the interconnections as nets which are collected as a netlist. The timing constraints on signal propagation paths along nets are defined. A complete layout of the circuit, where all the cells are positioned on the chip without overlapping and all the interconnection paths completed, is the output of the physical design stage. This layout is achieved in multiple stages: partitioning, floorplanning, placement, routing and compaction [13]. Figure 1.2 illustrates the stages of circuit layout. Circuit Design Partitioning Floorplanning Placement Routing Fabrication Figure 1.2: Design process steps of circuit layout Partitioning The engineering change orders can be handled by an effective and efficient partitioning tool by tremendously reducing the complexity of the design process [14], [15]. Besides,

7 7 final product with respect to production cost and system performance is evaluated based on the quality of the partitioning [16]. Partitioning is a technique to divide a circuit or system into a collection of smaller components. It is a design task that breaks a large system into smaller pieces to be implemented on separate interacting components. While at the same time, it also acts as an algorithmic method to solve difficult and complex combinatorial optimization problems as in logic [17]. The size of VLSI designs has increased to systems of hundreds of millions of transistors. The complexity of the circuit has become so high that it is very difficult to design and simulate the whole system without decomposing it into sets of smaller sub-systems. As a result, the circuits are partitioned by grouping the components into blocks also known as sub-circuits or modules. However, the actual partitioning process is based on factors like number of blocks, the size of the blocks, and the number of interconnections between the blocks [18]. The output of partitioning is a set of blocks along with the interconnections required by blocks, which are referred to as a netlist Floorplanning Floorplanning is the process of identifying structures that should be placed close together, and allocating space for them in such a manner as to meet the sometimes conflicting goals of available space (cost of the chip), required performance, and the desire to have everything close to everything else. Floorplanning includes finding the alignment and relative orientation of the modules so that the total device area is minimized. The placement of the modules is done on the basis that strongly connected components come

8 8 closer to each other. After floorplanning, the routing region must be divided into channels and switchboxes Placement A crucial task in chip design is placement which requires the positions of the modules to be decided on a given chip area. The placement has a greater influence on the total length of wires required to interconnect them, and as a result on the performance of the chip, the signal transition times and the consumption of power. Meeting time constraints were not a difficult task in the past, but in modern times due to technological advancement and growing complexity, the chip design has become a complex and time consuming process [19]. In addition, interdependent optimization goals and tight constraints will have to be addressed. Hence, the placement requirements keep changing, as placement tools became a fundamental component in integrated design flows at a number of stages and scenarios. Due to its iterative nature, placement has made the overall turnaround time greatly sensitive to the runtime of the placement. The varied reasons justify the need for flexible yet powerful and fast placement algorithms which is critical for faster turnaround and least time to market [20]. To sum up, the main objective of the placement is to find a minimum area arrangement of the blocks that allows completion of interconnections between the blocks. Two phases are included in standard cell placement. The first phase includes the creation of initial placement. The second phase includes assessment of the initial placement followed by improvement on the iteration till the layout contains minimum area and follows the design specifications [18]. In order to permit interconnections, space is left blank between the blocks. During placement, an estimated amount of routing space is added between the

9 9 cells. In one of the previous works of the author, it has been shown that estimating the space is crucial, as too much space can lead to sub-optimal layouts or too little space might rule out the optimal (shortest) routes for all nets, similarly the completion of the interconnections can also become impossible [21]. In such an instance, a rearrangement of the cells becomes necessary. Therefore, it is wise to integrate the computation of the routes into the placement task. However, the quality of the placement cannot be evaluated until the routing phase is completed. Another case of placement may be carried out if routable design is not achieved due to time constraint. Further, the effort is made to decrease the number of iterations by estimating the required routing space because when the positions of the blocks are fixed, it is not easy to improve the routing as well as the overall performance of the circuit [19]. Thus, it is evident that the efficient placement algorithm is essential for a good routing and circuit performance Routing Routing is considered to be one of the most complicated steps in the back-end design process. It refers to finding suitable paths within the available layout space where the wires are connected to the desired set of pins. It seeks to minimize total wire length but with respect to track capacity constraints. The main goal of this phase is to ensure that the interconnections are completed between the blocks as per the specified netlists in semi custom design [22]. When free spaces are unoccupied, they are partitioned into channels and switchboxes, which are used to complete all circuit interconnections through the shortest possible wire length. Routing takes a two-stage method: global routing and detailed routing [23]. Global routing connects the blocks of the circuit without taking into

10 10 account the exact geometric details of each wire and pin. Global routing specifies the loose route" of a wire through different regions in the routing space. Detailed routing assigns actual tracks and vias for nets. The two distinct problems that arise due to these routing is to balance the densities of the routing channels and to assign specific wire segments to each connection [24]. The objectives of the global routing algorithm are to distribute the connections among the channels to ensure that the channel densities are balanced and to reduce the number of turns for each connection. The main drawback of two-stage process of routing, i.e., global and detailed routing, is that it does not provide appropriate opportunities to tackle problems which arise from signal delay, cross talk and process constraints. Batterywala, et al. [25] put forward an intermediate step of track assignment between global and detailed routing to address these problems. During this stage, the global routing information can be used to efficiently address these problems and to support the detailed router in achieving the wiring completions Research Rationale Over the past 40 years, the number of transistors in an integrated circuit has doubled roughly every two years. This trend, known as Moores s Law, his prediction has proven to be accurate, in part because the law is now used in the semiconductor industry to guide long-term planning and to set targets for research and development. The VLSI industry has seen a steady growth of device capacity along with Moore s Law, to the point that today multiple processors are implemented on a single chip. This has enabled the industry to expand its market to new territories previously impossible, such as high-performance computing. Despite the exciting development, the growth of device capacity and the

11 11 expansion of a new user population place new challenges on CAD software for VLSI design. It is, therefore, important to reconsider the key CAD algorithms developed and used successfully in the past, with the first class requirement of scalability. This problem is particularly challenging because scalability has to be achieved across the entire flow, without much sacrifice of quality of result. Due to the large number of components, the task of designing integrated circuits is complex, which gives rise to the hypergraph partitioning problem. This problem, especially, occurs when dividing a circuit specification into clusters of netlist (sub-circuits) to minimize the cluster interconnect. Each sub-circuit can then be assembled independently, speeding up the design and integration processes. A circuit specification includes cells, which are pre-designed integrated circuit modules that implement a specific function and have input and output terminals. A net is a collection of input and output terminals connected together and is used to connect a group of cells together. Each connection between a cell and a net occurs at a pin. Cell connectivity information for the entire circuit is provided by the netlist, which specifies all the nets for a given circuit. Cell connectivity information from the circuit is represented by hypergraph. The hypergraph comprises vertex and hyperedge. While graph edges are pairs of nodes, hyper edges that connect any number of nodes and can therefore contain an arbitrary number of nodes. The vertex represents a cell in the circuit, while hyperedge the net from the netlist of circuit. The physical size of the cells and signal delays in the nets are evaluated from the vertices and hyperedges, respectively. The circuit specification is said to satisfy the

12 12 hypergraph representation if each net in the circuit has at least one pin on any one cell. Hypergraph application has been used for very long in the VLSI design process [26]. The constructive and iterative improvement placement methods are used for placement. In constructive placement method, once the coordinated of a cell have been fixed they are not modified any more. In iterative placement method, all cells have already some coordinates and cells are moved around, their positions are interchanged. Despite producing high quality placement, certain iterative improvement methods require excessive computation time. In contrast, the constructive placement method does not yield high quality placement but takes much shorter time. The performance of the circuit depends on both the quality of the placement as well as the shorter time. Therefore, there is a need to produce an efficient placement solution which can address these two challenges as design procedures takes weeks, months or even years to realize larger circuits. The fact that future placement and routing tasks will be much more complicated (due to the increasing size of the circuits and the growing design objectives) implies that faster placement and routing tools should be developed to handle such immense complexity. Future placement and routing tools must be adequately flexible to handle any modifications in VLSI design styles and design objectives. An effective, efficient, flexible and robust is required overall to address these complexities. The following gaps have been identified and will be addressed by this research study: The growth of device capacity and the expansion of a new user population have resulted in new challenges on CAD software for VLSI design.

13 13 A need to achieve scalability across the entire flow through CAD software for VLSI, without much sacrifice on the quality of the result. A need to produce an efficient placement solution which can address high quality placement within a shorter time. Faster placement and routing tools are required to handle complicated tasks. They should be flexible and robust to handle any modifications in VLSI design styles and design objectives. Unimodular hypergraph partitioning within the VLSI design has not been studied by others because even cardinality hyperedges are perfectly balanced, whereas odd ones have a deviation of exactly one but in hypergraph whose edges are not balanced. Hence, it will be a major contribution of this study Aim and Objectives of the Research The aim of this study is to develop and examine new methods and strategies, which are robust and flexible, as well as effective and efficient, to perform the partitioning and placement tasks. The main objectives of this study are To introduce a special type of hypergraph, namely unimodular hypergraph To address the various clustering methods are used to obtain effective VLSI circuit partitioning. To investigate an alternative method, namely Markov Renewal Reward process for VLSI circuit partitioning, which is a stochastic process under continuous time and discrete values

14 14 To address circuit placement challenges through Utility theory 1.6. Thesis Outline The remaining chapters of this thesis are organized as follows: Chapter 2 This chapter introduces the definitions of a hypergraph and the hypergraph partitioning problem. Unimodular hypergraph is defined as a stochastic function and proposed an alternative approach to netlists with the help of transition probability matrices. Further, this chapter explains the qualification of hypergraph K and G to be unimodular hypergraphs. The use of unimodular hypergraph to obtain the maximum flow at a particular node and to minimize the time between two nodes is also proposed. Chapter 3 This chapter highlights the clustering methods: Two-Step clustering, Hierarchical clustering and K-medoids clustering. In the two-step clustering method, pre-clustering and clustering with an optional step of outlier handling between the two was used. In the hierarchical method, agglomerative and divisive clusterings were performed. In the K- medoids method, a step approach was attempted. The clustering algorithms were compared based on the factors, such as the size of the data set and run time. For each of the factors, four tests were conducted with one test for each algorithm. The results showed that K-medoids method overcame VLSI partitioning problem by using medoids to represent the cluster rather than centroid. The K-medoid model achieves greater performance in comparison with other clustering method. The results pertaining to these methods are given in detail.

15 15 Chapter 4 In this chapter, the benefits of Markov chain model are described in detail. Markov renewal reward process is an extremely useful tool for efficient cell partitioning; therefore, an investigation of this approach was conducted. While analyzing the Markov Renewal Reward method for VLSI circuit partitioning, an attempt was made to investigate the probability distribution of the accumulated reward in a Markov renewal process and to obtain the accumulated reward that is directly influenced by random process that can be modeled by continuous-time Markov chain. In doing so, two novel approaches were employed. These approaches along with the strategies have been described in this chapter. Chapter 5 Chapter 5 discusses the methods used to address the cell placement problem with specific goals and objectives. In this chapter, utility theory was focused as it can be used in both decisions making under risk and uncertainty and also for its effectiveness to select the best moves. Three types of utility curves, such as Conservation Man, Average player and the Gambler have been discussed. Further, the utility theory has been analyzed for its efficiency to solve cell placement problem. Chapter 6 Chapter 6 concludes the findings of the study by providing a summary and an evaluation of the work presented. Finally, scope for future work is provided.

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture 01 Introduction Welcome to the course on Hardware

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information

Electronic Design Automation Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Electronic Design Automation Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Electronic Design Automation Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture No #1 Introduction So electronic design automation,

More information

Eliminating Routing Congestion Issues with Logic Synthesis

Eliminating Routing Congestion Issues with Logic Synthesis Eliminating Routing Congestion Issues with Logic Synthesis By Mike Clarke, Diego Hammerschlag, Matt Rardon, and Ankush Sood Routing congestion, which results when too many routes need to go through an

More information

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES DIGITAL DESIGN TECHNOLOGY & TECHNIQUES CAD for ASIC Design 1 INTEGRATED CIRCUITS (IC) An integrated circuit (IC) consists complex electronic circuitries and their interconnections. William Shockley et

More information

COE 561 Digital System Design & Synthesis Introduction

COE 561 Digital System Design & Synthesis Introduction 1 COE 561 Digital System Design & Synthesis Introduction Dr. Aiman H. El-Maleh Computer Engineering Department King Fahd University of Petroleum & Minerals Outline Course Topics Microelectronics Design

More information

101-1 Under-Graduate Project Digital IC Design Flow

101-1 Under-Graduate Project Digital IC Design Flow 101-1 Under-Graduate Project Digital IC Design Flow Speaker: Ming-Chun Hsiao Adviser: Prof. An-Yeu Wu Date: 2012/9/25 ACCESS IC LAB Outline Introduction to Integrated Circuit IC Design Flow Verilog HDL

More information

Basic Idea. The routing problem is typically solved using a twostep

Basic Idea. The routing problem is typically solved using a twostep Global Routing Basic Idea The routing problem is typically solved using a twostep approach: Global Routing Define the routing regions. Generate a tentative route for each net. Each net is assigned to a

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation Course Goals Lab Understand key components in VLSI designs Become familiar with design tools (Cadence) Understand design flows Understand behavioral, structural, and physical specifications Be able to

More information

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University EE 466/586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 18 Implementation Methods The Design Productivity Challenge Logic Transistors per Chip (K) 10,000,000.10m

More information

Introduction. A very important step in physical design cycle. It is the process of arranging a set of modules on the layout surface.

Introduction. A very important step in physical design cycle. It is the process of arranging a set of modules on the layout surface. Placement Introduction A very important step in physical design cycle. A poor placement requires larger area. Also results in performance degradation. It is the process of arranging a set of modules on

More information

Overview of Digital Design with Verilog HDL 1

Overview of Digital Design with Verilog HDL 1 Overview of Digital Design with Verilog HDL 1 1.1 Evolution of Computer-Aided Digital Design Digital circuit design has evolved rapidly over the last 25 years. The earliest digital circuits were designed

More information

CAD Algorithms. Placement and Floorplanning

CAD Algorithms. Placement and Floorplanning CAD Algorithms Placement Mohammad Tehranipoor ECE Department 4 November 2008 1 Placement and Floorplanning Layout maps the structural representation of circuit into a physical representation Physical representation:

More information

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape Edition April 2017 Semiconductor technology & processing 3D systems-on-chip A clever partitioning of circuits to improve area, cost, power and performance. In recent years, the technology of 3D integration

More information

Wojciech P. Maly Department of Electrical and Computer Engineering Carnegie Mellon University 5000 Forbes Ave. Pittsburgh, PA

Wojciech P. Maly Department of Electrical and Computer Engineering Carnegie Mellon University 5000 Forbes Ave. Pittsburgh, PA Interconnect Characteristics of 2.5-D System Integration Scheme Yangdong Deng Department of Electrical and Computer Engineering Carnegie Mellon University 5000 Forbes Ave. Pittsburgh, PA 15213 412-268-5234

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

Digital Design Methodology (Revisited) Design Methodology: Big Picture

Digital Design Methodology (Revisited) Design Methodology: Big Picture Digital Design Methodology (Revisited) Design Methodology Design Specification Verification Synthesis Technology Options Full Custom VLSI Standard Cell ASIC FPGA CS 150 Fall 2005 - Lec #25 Design Methodology

More information

Digital Design Methodology

Digital Design Methodology Digital Design Methodology Prof. Soo-Ik Chae Digital System Designs and Practices Using Verilog HDL and FPGAs @ 2008, John Wiley 1-1 Digital Design Methodology (Added) Design Methodology Design Specification

More information

ADVANCED FPGA BASED SYSTEM DESIGN. Dr. Tayab Din Memon Lecture 3 & 4

ADVANCED FPGA BASED SYSTEM DESIGN. Dr. Tayab Din Memon Lecture 3 & 4 ADVANCED FPGA BASED SYSTEM DESIGN Dr. Tayab Din Memon tayabuddin.memon@faculty.muet.edu.pk Lecture 3 & 4 Books Recommended Books: Text Book: FPGA Based System Design by Wayne Wolf Overview Why VLSI? Moore

More information

How Much Logic Should Go in an FPGA Logic Block?

How Much Logic Should Go in an FPGA Logic Block? How Much Logic Should Go in an FPGA Logic Block? Vaughn Betz and Jonathan Rose Department of Electrical and Computer Engineering, University of Toronto Toronto, Ontario, Canada M5S 3G4 {vaughn, jayar}@eecgutorontoca

More information

Placement Algorithm for FPGA Circuits

Placement Algorithm for FPGA Circuits Placement Algorithm for FPGA Circuits ZOLTAN BARUCH, OCTAVIAN CREŢ, KALMAN PUSZTAI Computer Science Department, Technical University of Cluj-Napoca, 26, Bariţiu St., 3400 Cluj-Napoca, Romania {Zoltan.Baruch,

More information

Integrated circuits and fabrication

Integrated circuits and fabrication Integrated circuits and fabrication Motivation So far we have discussed about the various devices that are the heartbeat of core electronics. This modules aims at giving an overview of how these solid

More information

Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design

Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design Wei-Jin Dai, Dennis Huang, Chin-Chih Chang, Michel Courtoy Cadence Design Systems, Inc. Abstract A design methodology for the implementation

More information

Cluster-based approach eases clock tree synthesis

Cluster-based approach eases clock tree synthesis Page 1 of 5 EE Times: Design News Cluster-based approach eases clock tree synthesis Udhaya Kumar (11/14/2005 9:00 AM EST) URL: http://www.eetimes.com/showarticle.jhtml?articleid=173601961 Clock network

More information

Comprehensive Place-and-Route Platform Olympus-SoC

Comprehensive Place-and-Route Platform Olympus-SoC Comprehensive Place-and-Route Platform Olympus-SoC Digital IC Design D A T A S H E E T BENEFITS: Olympus-SoC is a comprehensive netlist-to-gdsii physical design implementation platform. Solving Advanced

More information

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141 ECE 637 Integrated VLSI Circuits Introduction EE141 1 Introduction Course Details Instructor Mohab Anis; manis@vlsi.uwaterloo.ca Text Digital Integrated Circuits, Jan Rabaey, Prentice Hall, 2 nd edition

More information

Design Metrics. A couple of especially important metrics: Time to market Total cost (NRE + unit cost) Performance (speed latency and throughput)

Design Metrics. A couple of especially important metrics: Time to market Total cost (NRE + unit cost) Performance (speed latency and throughput) Design Metrics A couple of especially important metrics: Time to market Total cost (NRE + unit cost) Performance (speed latency and throughput) 1 Design Metrics A couple of especially important metrics:

More information

Computer-Based Project on VLSI Design Co 3/7

Computer-Based Project on VLSI Design Co 3/7 Computer-Based Project on VLSI Design Co 3/7 IC Layout and Symbolic Representation This pamphlet introduces the topic of IC layout in integrated circuit design and discusses the role of Design Rules and

More information

L14 - Placement and Routing

L14 - Placement and Routing L14 - Placement and Routing Ajay Joshi Massachusetts Institute of Technology RTL design flow HDL RTL Synthesis manual design Library/ module generators netlist Logic optimization a b 0 1 s d clk q netlist

More information

2. TOPOLOGICAL PATTERN ANALYSIS

2. TOPOLOGICAL PATTERN ANALYSIS Methodology for analyzing and quantifying design style changes and complexity using topological patterns Jason P. Cain a, Ya-Chieh Lai b, Frank Gennari b, Jason Sweis b a Advanced Micro Devices, 7171 Southwest

More information

AMS Behavioral Modeling

AMS Behavioral Modeling CHAPTER 3 AMS Behavioral Modeling Ronald S. Vogelsong, Ph.D. Overview Analog designers have for many decades developed their design using a Bottom-Up design flow. First, they would gain the necessary understanding

More information

UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163

UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163 UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163 LEARNING OUTCOMES 4.1 DESIGN METHODOLOGY By the end of this unit, student should be able to: 1. Explain the design methodology for integrated circuit.

More information

Lecture 1: Introduction Course arrangements Recap of basic digital design concepts EDA tool demonstration

Lecture 1: Introduction Course arrangements Recap of basic digital design concepts EDA tool demonstration TKT-1426 Digital design for FPGA, 6cp Fall 2011 http://www.tkt.cs.tut.fi/kurssit/1426/ Tampere University of Technology Department of Computer Systems Waqar Hussain Lecture Contents Lecture 1: Introduction

More information

SYNTHESIS FOR ADVANCED NODES

SYNTHESIS FOR ADVANCED NODES SYNTHESIS FOR ADVANCED NODES Abhijeet Chakraborty Janet Olson SYNOPSYS, INC ISPD 2012 Synopsys 2012 1 ISPD 2012 Outline Logic Synthesis Evolution Technology and Market Trends The Interconnect Challenge

More information

what operations can it perform? how does it perform them? on what kind of data? where are instructions and data stored?

what operations can it perform? how does it perform them? on what kind of data? where are instructions and data stored? Inside the CPU how does the CPU work? what operations can it perform? how does it perform them? on what kind of data? where are instructions and data stored? some short, boring programs to illustrate the

More information

More Course Information

More Course Information More Course Information Labs and lectures are both important Labs: cover more on hands-on design/tool/flow issues Lectures: important in terms of basic concepts and fundamentals Do well in labs Do well

More information

Programmable Logic Devices II

Programmable Logic Devices II São José February 2015 Prof. Hoeller, Prof. Moecke (http://www.sj.ifsc.edu.br) 1 / 28 Lecture 01: Complexity Management and the Design of Complex Digital Systems Prof. Arliones Hoeller arliones.hoeller@ifsc.edu.br

More information

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi Digital System Design Lecture 2: Design Amir Masoud Gharehbaghi amgh@mehr.sharif.edu Table of Contents Design Methodologies Overview of IC Design Flow Hardware Description Languages Brief History of HDLs

More information

Combinational hazards

Combinational hazards Combinational hazards We break down combinational hazards into two major categories, logic hazards and function hazards. A logic hazard is characterized by the fact that it can be eliminated by proper

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 6 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Contents FPGA Technology Programmable logic Cell (PLC) Mux-based cells Look up table PLA

More information

Lecture (05) Boolean Algebra and Logic Gates

Lecture (05) Boolean Algebra and Logic Gates Lecture (05) Boolean Algebra and Logic Gates By: Dr. Ahmed ElShafee ١ Minterms and Maxterms consider two binary variables x and y combined with an AND operation. Since eachv ariable may appear in either

More information

Parallel Implementation of VLSI Gate Placement in CUDA

Parallel Implementation of VLSI Gate Placement in CUDA ME 759: Project Report Parallel Implementation of VLSI Gate Placement in CUDA Movers and Placers Kai Zhao Snehal Mhatre December 21, 2015 1 Table of Contents 1. Introduction...... 3 2. Problem Formulation...

More information

Chapter 5 Global Routing

Chapter 5 Global Routing Chapter 5 Global Routing 5. Introduction 5.2 Terminology and Definitions 5.3 Optimization Goals 5. Representations of Routing Regions 5.5 The Global Routing Flow 5.6 Single-Net Routing 5.6. Rectilinear

More information

Animation of VLSI CAD Algorithms A Case Study

Animation of VLSI CAD Algorithms A Case Study Session 2220 Animation of VLSI CAD Algorithms A Case Study John A. Nestor Department of Electrical and Computer Engineering Lafayette College Abstract The design of modern VLSI chips requires the extensive

More information

Evolution of CAD Tools & Verilog HDL Definition

Evolution of CAD Tools & Verilog HDL Definition Evolution of CAD Tools & Verilog HDL Definition K.Sivasankaran Assistant Professor (Senior) VLSI Division School of Electronics Engineering VIT University Outline Evolution of CAD Different CAD Tools for

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

Introduction to VHDL. Module #5 Digilent Inc. Course

Introduction to VHDL. Module #5 Digilent Inc. Course Introduction to VHDL Module #5 Digilent Inc. Course Background Availability of CAD tools in the early 70 s Picture-based schematic tools Text-based netlist tools Schematic tools dominated CAD through mid-1990

More information

Revision: August 30, Overview

Revision: August 30, Overview Module 5: Introduction to VHDL Revision: August 30, 2007 Overview Since the first widespread use of CAD tools in the early 1970 s, circuit designers have used both picture-based schematic tools and text-based

More information

Three-Dimensional Integrated Circuits: Performance, Design Methodology, and CAD Tools

Three-Dimensional Integrated Circuits: Performance, Design Methodology, and CAD Tools Three-Dimensional Integrated Circuits: Performance, Design Methodology, and CAD Tools Shamik Das, Anantha Chandrakasan, and Rafael Reif Microsystems Technology Laboratories Massachusetts Institute of Technology

More information

High-Speed Context Switching on FPGAs

High-Speed Context Switching on FPGAs High-Speed Context Switching on FPGAs FPGAs provide thousands of simple configurable logic blocks combined with a programmable interconnect network to implement virtually any digital circuit. FPGAs have

More information

Overview of Digital Design Methodologies

Overview of Digital Design Methodologies Overview of Digital Design Methodologies ELEC 5402 Pavan Gunupudi Dept. of Electronics, Carleton University January 5, 2012 1 / 13 Introduction 2 / 13 Introduction Driving Areas: Smart phones, mobile devices,

More information

Design Methodologies

Design Methodologies Design Methodologies 1981 1983 1985 1987 1989 1991 1993 1995 1997 1999 2001 2003 2005 2007 2009 Complexity Productivity (K) Trans./Staff - Mo. Productivity Trends Logic Transistor per Chip (M) 10,000 0.1

More information

CAD for VLSI. Debdeep Mukhopadhyay IIT Madras

CAD for VLSI. Debdeep Mukhopadhyay IIT Madras CAD for VLSI Debdeep Mukhopadhyay IIT Madras Tentative Syllabus Overall perspective of VLSI Design MOS switch and CMOS, MOS based logic design, the CMOS logic styles, Pass Transistors Introduction to Verilog

More information

FPGA Based Digital Design Using Verilog HDL

FPGA Based Digital Design Using Verilog HDL FPGA Based Digital Design Using Course Designed by: IRFAN FAISAL MIR ( Verilog / FPGA Designer ) irfanfaisalmir@yahoo.com * Organized by Electronics Division Integrated Circuits Uses for digital IC technology

More information

CAD Algorithms. Circuit Partitioning

CAD Algorithms. Circuit Partitioning CAD Algorithms Partitioning Mohammad Tehranipoor ECE Department 13 October 2008 1 Circuit Partitioning Partitioning: The process of decomposing a circuit/system into smaller subcircuits/subsystems, which

More information

Overview. CSE372 Digital Systems Organization and Design Lab. Hardware CAD. Two Types of Chips

Overview. CSE372 Digital Systems Organization and Design Lab. Hardware CAD. Two Types of Chips Overview CSE372 Digital Systems Organization and Design Lab Prof. Milo Martin Unit 5: Hardware Synthesis CAD (Computer Aided Design) Use computers to design computers Virtuous cycle Architectural-level,

More information

Constructive floorplanning with a yield objective

Constructive floorplanning with a yield objective Constructive floorplanning with a yield objective Rajnish Prasad and Israel Koren Department of Electrical and Computer Engineering University of Massachusetts, Amherst, MA 13 E-mail: rprasad,koren@ecs.umass.edu

More information

CAD for VLSI Design - I. Lecture 21 V. Kamakoti and Shankar Balachandran

CAD for VLSI Design - I. Lecture 21 V. Kamakoti and Shankar Balachandran CAD for VLSI Design - I Lecture 21 V. Kamakoti and Shankar Balachandran Overview of this Lecture Understanding the process of Logic synthesis Logic Synthesis of HDL constructs Logic Synthesis What is this?

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 4(part 2) Testability Measurements (Chapter 6) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Previous lecture What

More information

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation Datasheet Create a Better Starting Point for Faster Physical Implementation Overview Continuing the trend of delivering innovative synthesis technology, Design Compiler Graphical streamlines the flow for

More information

Case study of Mixed Signal Design Flow

Case study of Mixed Signal Design Flow IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 3, Ver. II (May. -Jun. 2016), PP 49-53 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Case study of Mixed Signal Design

More information

Xilinx DSP. High Performance Signal Processing. January 1998

Xilinx DSP. High Performance Signal Processing. January 1998 DSP High Performance Signal Processing January 1998 New High Performance DSP Alternative New advantages in FPGA technology and tools: DSP offers a new alternative to ASICs, fixed function DSP devices,

More information

For a long time, programming languages such as FORTRAN, PASCAL, and C Were being used to describe computer programs that were

For a long time, programming languages such as FORTRAN, PASCAL, and C Were being used to describe computer programs that were CHAPTER-2 HARDWARE DESCRIPTION LANGUAGES 2.1 Overview of HDLs : For a long time, programming languages such as FORTRAN, PASCAL, and C Were being used to describe computer programs that were sequential

More information

Chapter 6. CMOS Functional Cells

Chapter 6. CMOS Functional Cells Chapter 6 CMOS Functional Cells In the previous chapter we discussed methods of designing layout of logic gates and building blocks like transmission gates, multiplexers and tri-state inverters. In this

More information

Automated system partitioning based on hypergraphs for 3D stacked integrated circuits. FOSDEM 2018 Quentin Delhaye

Automated system partitioning based on hypergraphs for 3D stacked integrated circuits. FOSDEM 2018 Quentin Delhaye Automated system partitioning based on hypergraphs for 3D stacked integrated circuits FOSDEM 2018 Quentin Delhaye Integrated circuits: Let s go 3D Building an Integrated Circuit (IC) Transistors to build

More information

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering FPGA Fabrics Reference Wayne Wolf, FPGA-Based System Design Pearson Education, 2004 Logic Design Process Combinational logic networks Functionality. Other requirements: Size. Power. Primary inputs Performance.

More information

A Path Decomposition Approach for Computing Blocking Probabilities in Wavelength-Routing Networks

A Path Decomposition Approach for Computing Blocking Probabilities in Wavelength-Routing Networks IEEE/ACM TRANSACTIONS ON NETWORKING, VOL. 8, NO. 6, DECEMBER 2000 747 A Path Decomposition Approach for Computing Blocking Probabilities in Wavelength-Routing Networks Yuhong Zhu, George N. Rouskas, Member,

More information

Introduction VLSI PHYSICAL DESIGN AUTOMATION

Introduction VLSI PHYSICAL DESIGN AUTOMATION VLSI PHYSICAL DESIGN AUTOMATION PROF. INDRANIL SENGUPTA DEPARTMENT OF COMPUTER SCIENCE AND ENGINEERING Introduction Main steps in VLSI physical design 1. Partitioning and Floorplanning l 2. Placement 3.

More information

Spiral 2-8. Cell Layout

Spiral 2-8. Cell Layout 2-8.1 Spiral 2-8 Cell Layout 2-8.2 Learning Outcomes I understand how a digital circuit is composed of layers of materials forming transistors and wires I understand how each layer is expressed as geometric

More information

Testability Optimizations for A Time Multiplexed CPLD Implemented on Structured ASIC Technology

Testability Optimizations for A Time Multiplexed CPLD Implemented on Structured ASIC Technology ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 14, Number 4, 2011, 392 398 Testability Optimizations for A Time Multiplexed CPLD Implemented on Structured ASIC Technology Traian TULBURE

More information

RTL Coding General Concepts

RTL Coding General Concepts RTL Coding General Concepts Typical Digital System 2 Components of a Digital System Printed circuit board (PCB) Embedded d software microprocessor microcontroller digital signal processor (DSP) ASIC Programmable

More information

FAST time-to-market, steadily decreasing cost, and

FAST time-to-market, steadily decreasing cost, and IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 12, NO. 10, OCTOBER 2004 1015 Power Estimation Techniques for FPGAs Jason H. Anderson, Student Member, IEEE, and Farid N. Najm, Fellow,

More information

High Level Synthesis

High Level Synthesis High Level Synthesis Design Representation Intermediate representation essential for efficient processing. Input HDL behavioral descriptions translated into some canonical intermediate representation.

More information

Lattice Semiconductor Design Floorplanning

Lattice Semiconductor Design Floorplanning September 2012 Introduction Technical Note TN1010 Lattice Semiconductor s isplever software, together with Lattice Semiconductor s catalog of programmable devices, provides options to help meet design

More information

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets.

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Clock Routing Problem Formulation Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Better to develop specialized routers for these nets.

More information

AN HIERARCHICAL APPROACH TO HULL FORM DESIGN

AN HIERARCHICAL APPROACH TO HULL FORM DESIGN AN HIERARCHICAL APPROACH TO HULL FORM DESIGN Marcus Bole and B S Lee Department of Naval Architecture and Marine Engineering, Universities of Glasgow and Strathclyde, Glasgow, UK 1 ABSTRACT As ship design

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica VLSI Design Automation 1 Outline Technology trends VLSI Design flow (an overview) 2 IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing

More information

Digital Timing. Using TimingDesigner to Generate SDC Timing Constraints. EMA TimingDesigner The industry s most accurate static timing analysis

Digital Timing. Using TimingDesigner to Generate SDC Timing Constraints. EMA TimingDesigner The industry s most accurate static timing analysis EMA TimingDesigner The industry s most accurate static timing analysis Digital Timing Learn about: Using TimingDesigner to generate SDC for development of FPGA designs Using TimingDesigner to establish

More information

ASIC, Customer-Owned Tooling, and Processor Design

ASIC, Customer-Owned Tooling, and Processor Design ASIC, Customer-Owned Tooling, and Processor Design Design Style Myths That Lead EDA Astray Nancy Nettleton Manager, VLSI ASIC Device Engineering April 2000 Design Style Myths COT is a design style that

More information

Linking Layout to Logic Synthesis: A Unification-Based Approach

Linking Layout to Logic Synthesis: A Unification-Based Approach Linking Layout to Logic Synthesis: A Unification-Based Approach Massoud Pedram Department of EE-Systems University of Southern California Los Angeles, CA February 1998 Outline Introduction Technology and

More information

Programmable Logic Devices HDL-Based Design Flows CMPE 415

Programmable Logic Devices HDL-Based Design Flows CMPE 415 HDL-Based Design Flows: ASIC Toward the end of the 80s, it became difficult to use schematic-based ASIC flows to deal with the size and complexity of >5K or more gates. HDLs were introduced to deal with

More information

structure syntax different levels of abstraction

structure syntax different levels of abstraction This and the next lectures are about Verilog HDL, which, together with another language VHDL, are the most popular hardware languages used in industry. Verilog is only a tool; this course is about digital

More information

Here is a list of lecture objectives. They are provided for you to reflect on what you are supposed to learn, rather than an introduction to this

Here is a list of lecture objectives. They are provided for you to reflect on what you are supposed to learn, rather than an introduction to this This and the next lectures are about Verilog HDL, which, together with another language VHDL, are the most popular hardware languages used in industry. Verilog is only a tool; this course is about digital

More information

EN2911X: Reconfigurable Computing Lecture 13: Design Flow: Physical Synthesis (5)

EN2911X: Reconfigurable Computing Lecture 13: Design Flow: Physical Synthesis (5) EN2911X: Lecture 13: Design Flow: Physical Synthesis (5) Prof. Sherief Reda Division of Engineering, rown University http://scale.engin.brown.edu Fall 09 Summary of the last few lectures System Specification

More information

An Interconnect-Centric Design Flow for Nanometer Technologies

An Interconnect-Centric Design Flow for Nanometer Technologies An Interconnect-Centric Design Flow for Nanometer Technologies Jason Cong UCLA Computer Science Department Email: cong@cs.ucla.edu Tel: 310-206-2775 URL: http://cadlab.cs.ucla.edu/~cong Exponential Device

More information

Synchronization In Digital Systems

Synchronization In Digital Systems 2011 International Conference on Information and Network Technology IPCSIT vol.4 (2011) (2011) IACSIT Press, Singapore Synchronization In Digital Systems Ranjani.M. Narasimhamurthy Lecturer, Dr. Ambedkar

More information

DESIGN AND IMPLEMENTATION OF 8 BIT AND 16 BIT ALU USING VERILOG LANGUAGE

DESIGN AND IMPLEMENTATION OF 8 BIT AND 16 BIT ALU USING VERILOG LANGUAGE DESIGN AND IMPLEMENTATION OF 8 BIT AND 16 BIT USING VERILOG LANGUAGE MANIT KANTAWALA Dept. of Electronic & Communication Global Institute of Technology, Jaipur Rajasthan, India Abstract: In this Paper

More information

Design Creation & Synthesis Division Avoid FPGA Project Delays by Adopting Advanced Design Methodologies

Design Creation & Synthesis Division Avoid FPGA Project Delays by Adopting Advanced Design Methodologies Design Creation & Synthesis Division Avoid FPGA Project Delays by Adopting Advanced Design Methodologies Alex Vals, Technical Marketing Engineer Mentor Graphics Corporation June 2008 Introduction Over

More information

Based on slides/material by. Topic Design Methodologies and Tools. Outline. Digital IC Implementation Approaches

Based on slides/material by. Topic Design Methodologies and Tools. Outline. Digital IC Implementation Approaches Based on slides/material by Topic 11 Peter Y. K. Cheung Department of Electrical & Electronic Engineering Imperial College London K. Masselos http://cas.ee.ic.ac.uk/~kostas J. Rabaey http://bwrc.eecs.berkeley.edu/classes/icbook/instructors.html

More information

Fast FPGA Routing Approach Using Stochestic Architecture

Fast FPGA Routing Approach Using Stochestic Architecture . Fast FPGA Routing Approach Using Stochestic Architecture MITESH GURJAR 1, NAYAN PATEL 2 1 M.E. Student, VLSI and Embedded System Design, GTU PG School, Ahmedabad, Gujarat, India. 2 Professor, Sabar Institute

More information

Lab 3 Verilog Simulation Mapping

Lab 3 Verilog Simulation Mapping University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Sciences 1. Motivation Lab 3 Verilog Simulation Mapping In this lab you will learn how to use

More information

A Method to Implement Layout Versus Schematic Check in Integrated Circuits Design Programs

A Method to Implement Layout Versus Schematic Check in Integrated Circuits Design Programs A Method to Implement Layout Versus Schematic Check in Integrated Circuits Design Programs Radu Gabriel Bozomitu, Daniela Ionescu Telecommunications Department Faculty of Electronics and Telecommunications,

More information

Chapter 1 Overview of Digital Systems Design

Chapter 1 Overview of Digital Systems Design Chapter 1 Overview of Digital Systems Design SKEE2263 Digital Systems Mun im/ismahani/izam {munim@utm.my,e-izam@utm.my,ismahani@fke.utm.my} February 8, 2017 Why Digital Design? Many times, microcontrollers

More information

Circuit Placement: 2000-Caldwell,Kahng,Markov; 2002-Kennings,Markov; 2006-Kennings,Vorwerk

Circuit Placement: 2000-Caldwell,Kahng,Markov; 2002-Kennings,Markov; 2006-Kennings,Vorwerk Circuit Placement: 2000-Caldwell,Kahng,Markov; 2002-Kennings,Markov; 2006-Kennings,Vorwerk Andrew A. Kennings, Univ. of Waterloo, Canada, http://gibbon.uwaterloo.ca/ akenning/ Igor L. Markov, Univ. of

More information

turning data into dollars

turning data into dollars turning data into dollars Tom s Ten Data Tips November 2008 Neural Networks Neural Networks (NNs) are sometimes considered the epitome of data mining algorithms. Loosely modeled after the human brain (hence

More information

Estimation of Wirelength

Estimation of Wirelength Placement The process of arranging the circuit components on a layout surface. Inputs: A set of fixed modules, a netlist. Goal: Find the best position for each module on the chip according to appropriate

More information

CHAPTER 3 ASYNCHRONOUS PIPELINE CONTROLLER

CHAPTER 3 ASYNCHRONOUS PIPELINE CONTROLLER 84 CHAPTER 3 ASYNCHRONOUS PIPELINE CONTROLLER 3.1 INTRODUCTION The introduction of several new asynchronous designs which provides high throughput and low latency is the significance of this chapter. The

More information

The QR code here provides a shortcut to go to the course webpage.

The QR code here provides a shortcut to go to the course webpage. Welcome to this MSc Lab Experiment. All my teaching materials for this Lab-based module are also available on the webpage: www.ee.ic.ac.uk/pcheung/teaching/msc_experiment/ The QR code here provides a shortcut

More information