PLACEMENT OF TSVS IN THREE DIMENSIONAL INTEGRATED CIRCUITS (3D IC) College of Engineering, Madurai, India.

Size: px
Start display at page:

Download "PLACEMENT OF TSVS IN THREE DIMENSIONAL INTEGRATED CIRCUITS (3D IC) College of Engineering, Madurai, India."

Transcription

1 Volume 117 No , ISSN: (printed version); ISSN: (on-line version) url: ijpam.eu PLACEMENT OF TSVS IN THREE DIMENSIONAL INTEGRATED CIRCUITS (3D IC) 1 M.R.Sheeba, 2 D.Gracia Nirmala Rani, 1,2 Electronics and Communication Engineering, Thiagarajar College of Engineering, Madurai, India. Abstract: The main purpose for the Three Dimensional Integrated Chip (3D IC) technology is to increase the performance of the Integrated Circuit. When these IC s are stacked in Three Dimensional structure there will be some multi objective issues like inter layer wire length and congestion. So in order to reduce the inter layer wire length and the congestion in 3D IC, the regular placement of TSVs (Through Silicon Via) is to be done. An evolutionary optimization algorithm is used for the regular placement and assignment of TSVs in 3D IC. At first, the floorplanning of an IC is done by using Cadence. After floorplanning method, the white space is calculated. After the calculation of the white space the location of the TSVs (Through Silicon Via) are obtained. So the TSVs are placed on the white space by the regular placement method. The benchmark ISCAS 85 (International Symposium on Circuits And Systems have taken. Keywords: Three Dimensional Integrated Chip (3D IC), Through Silicon Via (TSV), Inter layer wire length, congestion, Placement. 1. Introduction The main concept of 3 Dimensional Integrated Chip is to obtain the small chip area with high performance. A 3D IC concept is that stacking of multiple dies one above another. The stacking of these dies are done by the different bonding styles. Totally there are three types of bonding styles they are as i) Face to Face bonding (F2F) ii) Back to Back bonding (B2B) iii) Face to Back bonding (F2B). The Face to Face (F2F) bonding does not have any standard process. Wafer thinning is not required for this bonding method. The advantage of the Face to Face bonding is that it requires few TSVs. The disadvantage of this bonding is that, only two dies can be stacked. The connections are provided by the vertical interconnect which occurs in between two face (interconnect) side of the tier. The Back to Back bonding is done by the bonding both back of the two tiers. The main advantage of the Back to Back bonding is that this process of bonding style is easier. The disadvantage is that it requires more TSV s and it allow only one die to be stacked. The connection is done on the back (active) side of the both tiers. The Face to Back (F2B) bonding uses the standard process for assembly, test and packaging. The merits of the Face to Back bonding are that it allows an arbitrary number of dies to be stacked. The demerit is more TSV s are required the connection is made by the vertical interconnects (face) side of one device tier and active (back) side of the other device tier. Bonding is done by the Copper Pillar Micro Bumps. The Larger die is called as the mother die and the smaller die is called as the daughter die. The daughter die is placed over the mother die. Daughter die and its bonded copper column should be thinner than the collapsed flip chip (interconnecting semiconductor) bumps surrounding it. Copper Pillar Micro Bumps have been widely adopted to form these connections because their small size and pitch can provide the required interconnect density. It is used to connect the adjacent dies. After the process of floor planning the whole circuit which contains large logic elements are partitioned. 2. Previous work Placement of Through Silicon Via in the floor planned 3D IC is an issue. The partitioning [1] is done to reduce the temperature and the thermal effects of the IC. While stacking of ICs by tier over tier there raises a problem of more power dissipation. Because of more power dissipation providing coolant for each tier is impossible. So in order to avoid this power dissipation partitioning have been done. The guided simulated annealing method is used [2]. It is used for the 3D floor planning and then it is partitioned. The quadratic algorithm [3] is used to assign the location of the TSVs. The Multi Objective Evolutionary Approach (MOEA) [4] is done based on the genetic algorithm to reduce the wire length of the interconnects. The placement of TSVs [5] is assigned by the Weighted Average (WA) wire length model. The multi objective evolutionary technique [6] is used in order to have the efficient rout ablility. The light weight multi objective dead space optimization methodology [7] is used to optimize the interconnects. The calculation of Keep Out Zone (KOZ) [8] is done. The size of the KOZ varies so 179

2 according to the size of KOZ the TSVs are placed. The floor planning is done [9] to calculate the wire length. The thermal TSVs are inserted in order to improve the vertical heat flow in the floor planned chip. The analysis of thermo mechanical stress and the impact of Keep Out Zone (KOZ) are done. So that the performance is increased and the wire length is reduced. The placement and the design planning [11] for the 3 Dimensional Integrated Circuits have been analyzed. 3. Proposed Algorithm The evolutionary optimization algorithm is proposed in this paper for the regular placement and the assignment of the TSVs in the Three Dimensional Integrated Circuits. The floor planned design of the 3D IC is given as the input. So by calculating the white space in the floor planned design of the 3 Dimensional Integrated Circuits the TSVs are assigned and then those TSVs are placed in the white space. The group of cells in the integrated circuits is known as the chromosomes. The placement and the assignment of TSVs are calculated by the fitness value of the chromosomes. The fitness values of each chromosome are the quality of the solutions of each chromosome. The fitness of the chromosomes is calculated by the floor planned design of the integrated circuits. The Non dominated Sorting Genetic Algorithm (NSGA) [12] is an algorithm used to calculate the white space for the assigning and the placement of Through Silicon Via. There three Multi Objective Genetic Algorithm (MOGA) operators used for the calculation of the fitness of the chromosomes. Those Multi Objective Genetic Algorithm operators are as follows; selection, crossover, mutation and binary tournament. The binary tournament is a kind of selection which is done by the selection of the individuals from the population by using genetic algorithm. 1. Binary tournament selection: The binary tournament selection can be done by two methods; (i) First method is that by calculating the rank of the each chromosomes (i.e. the rank of the cells). The rank of the each chromosome is calculated by the pareto optimal method. It is a method of making the individuals best but without anything worst. By this ranking method the chromosomes which have higher fitness are calculated easily. (ii) Second method is that by calculating the crowding distance of the chromosomes (i.e. the crowd of the cells). The crowding length is calculated by the length of the side of the hyper rectangle of the neighbouring vertices. The crowding distance of the chromosomes is calculated in order to avoid the local minima. chromosomes. These chromosomes are generated by the greedy technique. This greedy technique is a multi step process used to obtain the better result. Therefore some chromosomes are obtained by this greedy technique and the rest of the populations i.e. chromosomes are generated randomly. 3. Mutation: In this process, the probabilities are increased in each generation. So that by increasing the probability in each generation there is also a minute improvement. By this minute improvement the solution becomes more optimized. 4. Crossover: The crossover method is done form one generation to another generation. The probability of the generation in crossover method will not be constant. The higher probability are kept in first generation because to obtain new solutions of the chromosomes. The probabilities are reduced in order to obtain the better convergence. Figure 1. Block diagram of the benchmark circuit c Selection: The selection of the chromosome (TSV) is calculated from the initial population of the 180

3 The above diagram figure one represents the diagram of the benchmark circuit of c3540. This benchmark is an eight bit ALU which will perform binary and BCD arithmetic operations moreover as logic and shift operations. Logic operations square measure intermixed with arithmetic ones, very much like within the TTL BCD addition is finished via a two's complement adder by adding via to each digits of the primary quantity, and so subtracting via from the digits of the result if they are doing not generate a carry. Complete of fourteen management inputs square measure used for multiplexing and masking knowledge inputs. Most multiplexers during this circuit have an odd range of inputs, e.g. 3 and 5, and their choice is completely different between lower and higher digits (4 bits). The choice mechanism of M4 (output MB) is even a lot of difficult. The most important module is M5 (ALU Core), that consists of 2 four bit CLAs. Module M8 (Shifter) will shift the input bus A by one to eight bits in either direction. Parity and 0 flags square measure generated by module M12 (Flags) victimization the input buses A, B and also the output bus Z; see the relevant figures or the Verilog model for his or her actual definition. Numerous logic functions of A and B square measure calculated by module M13 that doesn't have an understandable high level structure. 4. Simulation Results Table 1. Gate Areas For Different Iscas 89 Benchmark Circuits S.No Benchmark circuits Modules Primitives Registers CPU time (s) Gate (mm 2 ) 1 c area 2 c c c c c Each of the benchmark circuits are divided into two modules. In fig. 2 the primitives represents the total number of gates in both the modules. The registers represent the total number of inputs in the two modules. CPU time is the execution time of both the modules. The gate area represents the TSV (Through Silicon Via) where to be placed. The simulation result of c3540 benchmark circuit is shown in the fig.2. In fig. 3 the RTL Schematic of the c3540 benchmark circuit is shown. In fig. 4 the floorplanned design of the c3540 benchmark circuit is shown. In figure 5 the partitioning of the c3540 benchmark circuit is shown. By partitioning method the white space has been calculated. So at the white space the regular assignment and the placement of the TSVs can be done. When compared to the previous papers the gate area is minimized. So that the TSVs cab be placed. In previous papers the gate area was mm 2 for c3540, mm 2 for c1355, mm 2 for c7552, mm 2 for c5315, mm 2 for c1908 and mm 2 for c2670. Now the gate area is mm 2 for c3540, mm 2 for c1355, mm 2 for c7552, mm 2 for c5315, mm 2 for c1908, mm 2 for c

4 Figure 4. Floor planned design of c3540 benchmark circuit Figure 2. Simulation result of c3540 benchmark circuit Figure 5. Partitioning of c3540 benchmark circuit 5. Conclusion For the assignment and the regular placement of Through Silicon Via (TSV) the evolutionary optimization algorithm is used. The ISCAS 85 (International Symposium on Circuits And Systems) benchmark have been taken for this analysis. The floor planned design of the c3540 benchmark circuit is obtained by using cadence. The gate area is reduced so that by calculating the white space assignment and the location of the TSVs can be done. References Figure 3. RTL Schematic of c3540 benchmark circuit [1] B. Goplen and S. Sapatnekar, Placement of 3-D ICs with thermal and the inter layer via considerations, Proc. ACM/IEEE Design Autom. Conf., pp , [2] A. Quiring, M. Olbrich, E. Barke, Fast Global Interconnnect Driven 3D Floor planning, Proc. IFIP/IEEE Intl. Conf. Very Large Scale Integration (VLSI-SoC), pp [3] D. H. Kim, K. Athikulwongse, S. K. Lim, A study of through-silicon-via impact on the 3-D stacked IC layout, Proc. IEEE/ACM Int. Conference on Computer Aided Design, pp Nov [4] D. Cuesta, J. L. Risco-Martin, J. L. Ayala, J. I. Hidalgo, 3D thermal-aware Floor planner using a MOEA approximation and Integration, the VLSI journal, vol. 46, pp. 1021,

5 [5] H. Meng-Kai, V. Balabanov, C. Yao-Wen, TSV- Aware Analytical Placement for 3- D IC Designs Based on a Novel Weighted- Average Wire length Model, IEEE Trans. On Computer Aided Design of Integrated Circuits and Systems, vol. 32, no. 4, April [6] M. C. Kim, Multi objective Placement Optimization for High performance Nano scale Integrated Circuits, Doctor of Philosophy thesis, The University of Michigan, [15] S.V.Manikanthan and K.srividhya "An Android based secure access control using ARM and cloud computing", Published in: Electronics and Communication Systems (ICECS), nd International Conference on Feb. 2015,Publisher:IEEE,DOI: /ECS [16] Rajesh, M., and J. M. Gnanasekar. & quot; Path observation-based physical routing protocol for wireless ad hoc networks. & quot; International Journal of Wireless and Mobile Computing 11.3(2016): [7] J. Knechtel, I. L. Markov, J. Lienig, M. Thiele, Multi objective Optimization of Deadspace, a Critical Resource for 3D-IC Integration, Proc. Int. Conf. Computer Aided Design, pp [8] K. L. Sung, Regular Versus Irregular TSV Placement for 3D IC, Design for High Performance, Low Power and Reliable 3D Integrated Circuits, pp. 3-40, [9] P. Budhathoki, J. Knechtel, A. Henschel, I. M. Elfadel, Integrating 3D Floor planning and Optimization of Thermal Through-Silicon Vias, 3D Stacked Chips, Springer International Publishing Switzerland, pp , [10] M. Jung, J. Mitra, D. Z. Pan, S. K. Lim, TSV Stress-Aware Full Chip Mechanical Reliability Analysis and Optimization for 3D IC, Communications of the ACM, vol. 57, no. 1, pp , [11] G. Luo, Placement and Design Planning for 3D Integrated Circuits, PhDThesis, University of California, [12] Debasri Saha, Susmita Sur-Kolay, Multi objective Optimization of Placement and Assignment of TSVs in 3D ICs, 30th International Conference on VLSI Design and th International Conference on Embedded Systems, [13] S.Shakeela, Agribot: An Agriculture Robot, International Innovative Research journal of Engineering and Technology, vol 02, no 04, pp , [14] T. Padmapriya and V. Saminadan, Improving Throughput for Downlink Multi user MIMO-LTE Advanced Networks using SINR approximation and Hierarchical CSI feedback, International Journal of Mobile Design Network and Innovation- Inderscience Publisher, ISSN : vol. 6, no.1, pp , May

6 184

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis I NVENTIVE Physical Design Implementation for 3D IC Methodology and Tools Dave Noice Vassilios Gerousis Outline 3D IC Physical components Modeling 3D IC Stack Configuration Physical Design With TSV Summary

More information

Thermal Analysis on Face-to-Face(F2F)-bonded 3D ICs

Thermal Analysis on Face-to-Face(F2F)-bonded 3D ICs 1/16 Thermal Analysis on Face-to-Face(F2F)-bonded 3D ICs Kyungwook Chang, Sung-Kyu Lim School of Electrical and Computer Engineering Georgia Institute of Technology Introduction Challenges in 2D Device

More information

On GPU Bus Power Reduction with 3D IC Technologies

On GPU Bus Power Reduction with 3D IC Technologies On GPU Bus Power Reduction with 3D Technologies Young-Joon Lee and Sung Kyu Lim School of ECE, Georgia Institute of Technology, Atlanta, Georgia, USA yjlee@gatech.edu, limsk@ece.gatech.edu Abstract The

More information

High-Density Integration of Functional Modules Using Monolithic 3D-IC Technology

High-Density Integration of Functional Modules Using Monolithic 3D-IC Technology High-Density Integration of Functional Modules Using Monolithic 3D-IC Technology Shreepad Panth 1, Kambiz Samadi 2, Yang Du 2, and Sung Kyu Lim 1 1 Dept. of Electrical and Computer Engineering, Georgia

More information

Constraint-Driven Floorplanning based on Genetic Algorithm

Constraint-Driven Floorplanning based on Genetic Algorithm Proceedings of the 2007 WSEAS International Conference on Computer Engineering and Applications, Gold Coast, Australia, January 17-19, 2007 147 Constraint-Driven Floorplanning based on Genetic Algorithm

More information

A Study of Through-Silicon-Via Impact on the 3D Stacked IC Layout

A Study of Through-Silicon-Via Impact on the 3D Stacked IC Layout A Study of Through-Silicon-Via Impact on the Stacked IC Layout Dae Hyun Kim, Krit Athikulwongse, and Sung Kyu Lim School of Electrical and Computer Engineering Georgia Institute of Technology, Atlanta,

More information

Design and Implementation of CVNS Based Low Power 64-Bit Adder

Design and Implementation of CVNS Based Low Power 64-Bit Adder Design and Implementation of CVNS Based Low Power 64-Bit Adder Ch.Vijay Kumar Department of ECE Embedded Systems & VLSI Design Vishakhapatnam, India Sri.Sagara Pandu Department of ECE Embedded Systems

More information

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration 1 EECS 598: Integrating Emerging Technologies with Computer Architecture Lecture 10: Three-Dimensional (3D) Integration Instructor: Ron Dreslinski Winter 2016 University of Michigan 1 1 1 Announcements

More information

Three-Dimensional Integrated Circuits: Performance, Design Methodology, and CAD Tools

Three-Dimensional Integrated Circuits: Performance, Design Methodology, and CAD Tools Three-Dimensional Integrated Circuits: Performance, Design Methodology, and CAD Tools Shamik Das, Anantha Chandrakasan, and Rafael Reif Microsystems Technology Laboratories Massachusetts Institute of Technology

More information

3-Dimensional (3D) ICs: A Survey

3-Dimensional (3D) ICs: A Survey 3-Dimensional (3D) ICs: A Survey Lavanyashree B.J M.Tech, Student VLSI DESIGN AND EMBEDDED SYSTEMS Dayananda Sagar College of engineering, Bangalore. Abstract VLSI circuits are scaled to meet improved

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

Thermal Modeling and Active Cooling

Thermal Modeling and Active Cooling Thermal Modeling and Active Cooling for 3D MPSoCs Prof. David Atienza, Embedded Systems Laboratory (ESL), EE Institute, Faculty of Engineering MPSoC 09, 2-7 August 2009 (Savannah, Georgia, USA) Thermal-Reliability

More information

A Design Tradeoff Study with Monolithic 3D Integration

A Design Tradeoff Study with Monolithic 3D Integration A Design Tradeoff Study with Monolithic 3D Integration Chang Liu and Sung Kyu Lim Georgia Institute of Techonology Atlanta, Georgia, 3332 Phone: (44) 894-315, Fax: (44) 385-1746 Abstract This paper studies

More information

Three DIMENSIONAL-CHIPS

Three DIMENSIONAL-CHIPS IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) ISSN: 2278-2834, ISBN: 2278-8735. Volume 3, Issue 4 (Sep-Oct. 2012), PP 22-27 Three DIMENSIONAL-CHIPS 1 Kumar.Keshamoni, 2 Mr. M. Harikrishna

More information

A 256-Radix Crossbar Switch Using Mux-Matrix-Mux Folded-Clos Topology

A 256-Radix Crossbar Switch Using Mux-Matrix-Mux Folded-Clos Topology http://dx.doi.org/10.5573/jsts.014.14.6.760 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.6, DECEMBER, 014 A 56-Radix Crossbar Switch Using Mux-Matrix-Mux Folded-Clos Topology Sung-Joon Lee

More information

Design of Low-Power and Low-Latency 256-Radix Crossbar Switch Using Hyper-X Network Topology

Design of Low-Power and Low-Latency 256-Radix Crossbar Switch Using Hyper-X Network Topology JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.15, NO.1, FEBRUARY, 2015 http://dx.doi.org/10.5573/jsts.2015.15.1.077 Design of Low-Power and Low-Latency 256-Radix Crossbar Switch Using Hyper-X Network

More information

Investigation and Comparison of Thermal Distribution in Synchronous and Asynchronous 3D ICs Abstract -This paper presents an analysis and comparison

Investigation and Comparison of Thermal Distribution in Synchronous and Asynchronous 3D ICs Abstract -This paper presents an analysis and comparison Investigation and Comparison of Thermal Distribution in Synchronous and Asynchronous 3D ICs Brent Hollosi 1, Tao Zhang 2, Ravi S. P. Nair 3, Yuan Xie 2, Jia Di 1, and Scott Smith 3 1 Computer Science &

More information

ASIC Physical Design Top-Level Chip Layout

ASIC Physical Design Top-Level Chip Layout ASIC Physical Design Top-Level Chip Layout References: M. Smith, Application Specific Integrated Circuits, Chap. 16 Cadence Virtuoso User Manual Top-level IC design process Typically done before individual

More information

A Study of IR-drop Noise Issues in 3D ICs with Through-Silicon-Vias

A Study of IR-drop Noise Issues in 3D ICs with Through-Silicon-Vias A Study of IR-drop Noise Issues in 3D ICs with Through-Silicon-Vias Moongon Jung and Sung Kyu Lim School of Electrical and Computer Engineering Georgia Institute of Technology, Atlanta, Georgia, USA Email:

More information

FPGA Implementation of ALU Based Address Generation for Memory

FPGA Implementation of ALU Based Address Generation for Memory International Journal of Emerging Engineering Research and Technology Volume 2, Issue 8, November 2014, PP 76-83 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) FPGA Implementation of ALU Based Address

More information

On Enhancing Power Benefits in 3D ICs: Block Folding and Bonding Styles Perspective

On Enhancing Power Benefits in 3D ICs: Block Folding and Bonding Styles Perspective On Enhancing Power Benefits in 3D ICs: Block Folding and Bonding Styles Perspective Moongon Jung, Taigon Song, Yang Wan, Yarui Peng, and Sung Kyu Lim School of ECE, Georgia Institute of Technology, Atlanta,

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information

Chapter 2 Logic Gates and Introduction to Computer Architecture

Chapter 2 Logic Gates and Introduction to Computer Architecture Chapter 2 Logic Gates and Introduction to Computer Architecture 2.1 Introduction The basic components of an Integrated Circuit (IC) is logic gates which made of transistors, in digital system there are

More information

ATPG for Faults Analysis in VLSI Circuits Using Immune Genetic Algorithm

ATPG for Faults Analysis in VLSI Circuits Using Immune Genetic Algorithm ATPG for Faults Analysis in VLSI Circuits Using Immune Genetic Algorithm P.K.Chakrabarty 1, S.N.Patnaik 2 1Professor, Department of CSE., IT,BHU, India 2Asst.Professor, ECE Department, DRIEMS, Cuttack,

More information

Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing

Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing Umadevi.S #1, Vigneswaran.T #2 # Assistant Professor [Sr], School of Electronics Engineering, VIT University, Vandalur-

More information

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation Course Goals Lab Understand key components in VLSI designs Become familiar with design tools (Cadence) Understand design flows Understand behavioral, structural, and physical specifications Be able to

More information

Thermo Mechanical Modeling of TSVs

Thermo Mechanical Modeling of TSVs Thermo Mechanical Modeling of TSVs Jared Harvest Vamsi Krishna ih Yaddanapudi di 1 Overview Introduction to Through Silicon Vias (TSVs) Advantages of TSVs over wire bonding in packages Role of TSVs in

More information

The Pennsylvania State University The Graduate School College of Engineering ELECTRONIC DESIGN AUTOMATION CHALLENGES IN THREE

The Pennsylvania State University The Graduate School College of Engineering ELECTRONIC DESIGN AUTOMATION CHALLENGES IN THREE The Pennsylvania State University The Graduate School College of Engineering ELECTRONIC DESIGN AUTOMATION CHALLENGES IN THREE DIMENSIONAL INTEGRATED CIRCUITS (3D ICS) A Thesis in Computer Science and Engineering

More information

THERMAL EXPLORATION AND SIGN-OFF ANALYSIS FOR ADVANCED 3D INTEGRATION

THERMAL EXPLORATION AND SIGN-OFF ANALYSIS FOR ADVANCED 3D INTEGRATION THERMAL EXPLORATION AND SIGN-OFF ANALYSIS FOR ADVANCED 3D INTEGRATION Cristiano Santos 1, Pascal Vivet 1, Lee Wang 2, Michael White 2, Alexandre Arriordaz 3 DAC Designer Track 2017 Pascal Vivet Jun/2017

More information

IMPROVEMENT OF THE QUALITY OF VLSI CIRCUIT PARTITIONING PROBLEM USING GENETIC ALGORITHM

IMPROVEMENT OF THE QUALITY OF VLSI CIRCUIT PARTITIONING PROBLEM USING GENETIC ALGORITHM Volume 3, No. 12, December 2012 Journal of Global Research in Computer Science RESEARCH PAPER Available Online at www.jgrcs.info IMPROVEMENT OF THE QUALITY OF VLSI CIRCUIT PARTITIONING PROBLEM USING GENETIC

More information

Prachi Sharma 1, Rama Laxmi 2, Arun Kumar Mishra 3 1 Student, 2,3 Assistant Professor, EC Department, Bhabha College of Engineering

Prachi Sharma 1, Rama Laxmi 2, Arun Kumar Mishra 3 1 Student, 2,3 Assistant Professor, EC Department, Bhabha College of Engineering A Review: Design of 16 bit Arithmetic and Logical unit using Vivado 14.7 and Implementation on Basys 3 FPGA Board Prachi Sharma 1, Rama Laxmi 2, Arun Kumar Mishra 3 1 Student, 2,3 Assistant Professor,

More information

IMPLEMENTATION OF LOW POWER AREA EFFICIENT ALU WITH LOW POWER FULL ADDER USING MICROWIND DSCH3

IMPLEMENTATION OF LOW POWER AREA EFFICIENT ALU WITH LOW POWER FULL ADDER USING MICROWIND DSCH3 IMPLEMENTATION OF LOW POWER AREA EFFICIENT ALU WITH LOW POWER FULL ADDER USING MICROWIND DSCH3 Ritafaria D 1, Thallapalli Saibaba 2 Assistant Professor, CJITS, Janagoan, T.S, India Abstract In this paper

More information

Performance Assessment of DMOEA-DD with CEC 2009 MOEA Competition Test Instances

Performance Assessment of DMOEA-DD with CEC 2009 MOEA Competition Test Instances Performance Assessment of DMOEA-DD with CEC 2009 MOEA Competition Test Instances Minzhong Liu, Xiufen Zou, Yu Chen, Zhijian Wu Abstract In this paper, the DMOEA-DD, which is an improvement of DMOEA[1,

More information

Stacked Silicon Interconnect Technology (SSIT)

Stacked Silicon Interconnect Technology (SSIT) Stacked Silicon Interconnect Technology (SSIT) Suresh Ramalingam Xilinx Inc. MEPTEC, January 12, 2011 Agenda Background and Motivation Stacked Silicon Interconnect Technology Summary Background and Motivation

More information

A GENETIC ALGORITHM BASED APPROACH TO SOLVE VLSI FLOORPLANNING PROBLEM

A GENETIC ALGORITHM BASED APPROACH TO SOLVE VLSI FLOORPLANNING PROBLEM International Journal of Computer Engineering & Technology (IJCET) Volume 9, Issue 6, November-December2018, pp. 46 54, Article ID: IJCET_09_06_006 Available online at http://www.iaeme.com/ijcet/issues.asp?jtype=ijcet&vtype=9&itype=6

More information

FPGA IMPLEMENTATION OF DFT PROCESSOR USING VEDIC MULTIPLIER. Amrita School of Engineering, Coimbatore, Amrita Vishwa Vidyapeetham, India

FPGA IMPLEMENTATION OF DFT PROCESSOR USING VEDIC MULTIPLIER. Amrita School of Engineering, Coimbatore, Amrita Vishwa Vidyapeetham, India Volume 118 No. 10 2018, 51-56 ISSN: 1311-8080 (printed version); ISSN: 1314-3395 (on-line version) url: http://www.ijpam.eu doi: 10.12732/ijpam.v118i10.7 ijpam.eu FPGA IMPLEMENTATION OF DFT PROCESSOR USING

More information

Electronic Design Automation Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Electronic Design Automation Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Electronic Design Automation Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture No #1 Introduction So electronic design automation,

More information

CAD Algorithms. Placement and Floorplanning

CAD Algorithms. Placement and Floorplanning CAD Algorithms Placement Mohammad Tehranipoor ECE Department 4 November 2008 1 Placement and Floorplanning Layout maps the structural representation of circuit into a physical representation Physical representation:

More information

Xilinx SSI Technology Concept to Silicon Development Overview

Xilinx SSI Technology Concept to Silicon Development Overview Xilinx SSI Technology Concept to Silicon Development Overview Shankar Lakka Aug 27 th, 2012 Agenda Economic Drivers and Technical Challenges Xilinx SSI Technology, Power, Performance SSI Development Overview

More information

Innovative 3D Structures Utilizing Wafer Level Fan-Out Technology

Innovative 3D Structures Utilizing Wafer Level Fan-Out Technology Innovative 3D Structures Utilizing Wafer Level Fan-Out Technology JinYoung Khim #, Curtis Zwenger *, YoonJoo Khim #, SeWoong Cha #, SeungJae Lee #, JinHan Kim # # Amkor Technology Korea 280-8, 2-ga, Sungsu-dong,

More information

A Novel Design of High Speed and Area Efficient De-Multiplexer. using Pass Transistor Logic

A Novel Design of High Speed and Area Efficient De-Multiplexer. using Pass Transistor Logic A Novel Design of High Speed and Area Efficient De-Multiplexer Using Pass Transistor Logic K.Ravi PG Scholar(VLSI), P.Vijaya Kumari, M.Tech Assistant Professor T.Ravichandra Babu, Ph.D Associate Professor

More information

Full-Chip Through-Silicon-Via Interfacial Crack Analysis and Optimization for 3D IC

Full-Chip Through-Silicon-Via Interfacial Crack Analysis and Optimization for 3D IC Full-Chip Through-Silicon-Via Interfacial Crack Analysis and Optimization for 3D IC Moongon Jung 1, Xi Liu 2, Suresh K. Sitaraman 2, David Z. Pan 3, and Sung Kyu Lim 1 1 School of ECE, Georgia Institute

More information

ACADEMIC YEAR PLANNING - F.Y.J.C. ( ) F.Y.J.C. COMPUTER SCIENCE (Theory)

ACADEMIC YEAR PLANNING - F.Y.J.C. ( ) F.Y.J.C. COMPUTER SCIENCE (Theory) ACADEMIC YEAR PLANNING - F.Y.J.C. (2015-16) F.Y.J.C. COMPUTER SCIENCE (Theory) JULY Number Systems & Binary Arithmetic : Binary number, decimal, octal, hexadecimal numbers, BCD,conversion from one number

More information

Adaptive Power Blurring Techniques to Calculate IC Temperature Profile under Large Temperature Variations

Adaptive Power Blurring Techniques to Calculate IC Temperature Profile under Large Temperature Variations Adaptive Techniques to Calculate IC Temperature Profile under Large Temperature Variations Amirkoushyar Ziabari, Zhixi Bian, Ali Shakouri Baskin School of Engineering, University of California Santa Cruz

More information

Thermal Sign-Off Analysis for Advanced 3D IC Integration

Thermal Sign-Off Analysis for Advanced 3D IC Integration Sign-Off Analysis for Advanced 3D IC Integration Dr. John Parry, CEng. Senior Industry Manager Mechanical Analysis Division May 27, 2018 Topics n Acknowledgements n Challenges n Issues with Existing Solutions

More information

OVERVIEW: NETWORK ON CHIP 3D ARCHITECTURE

OVERVIEW: NETWORK ON CHIP 3D ARCHITECTURE OVERVIEW: NETWORK ON CHIP 3D ARCHITECTURE 1 SOMASHEKHAR, 2 REKHA S 1 M. Tech Student (VLSI Design & Embedded System), Department of Electronics & Communication Engineering, AIET, Gulbarga, Karnataka, INDIA

More information

2. BLOCK DIAGRAM Figure 1 shows the block diagram of an Asynchronous FIFO and the signals associated with it.

2. BLOCK DIAGRAM Figure 1 shows the block diagram of an Asynchronous FIFO and the signals associated with it. Volume 115 No. 8 2017, 631-636 ISSN: 1311-8080 (printed version); ISSN: 1314-3395 (on-line version) url: http://www.ijpam.eu ijpam.eu DESIGNING ASYNCHRONOUS FIFO FOR LOW POWER DFT IMPLEMENTATION 1 Avinash

More information

Thermal-Aware 3D IC Placement Via Transformation

Thermal-Aware 3D IC Placement Via Transformation Thermal-Aware 3D IC Placement Via Transformation Jason Cong, Guojie Luo, Jie Wei and Yan Zhang Department of Computer Science University of California, Los Angeles Los Angeles, CA 90095 Email: { cong,

More information

Research Paper ANALYSIS AND DESIGN OF VLSI FLOORPLANNING ALGORITHMS FOR NANO-CIRCUITS Gracia Nirmala Rani. D 1, Rajaram.S 2 Address for Correspondence

Research Paper ANALYSIS AND DESIGN OF VLSI FLOORPLANNING ALGORITHMS FOR NANO-CIRCUITS Gracia Nirmala Rani. D 1, Rajaram.S 2 Address for Correspondence Research Paper ANALYSIS AND DESIGN OF VLSI FLOORPLANNING ALGORITHMS FOR NANO-CIRCUITS Gracia Nirmala Rani. D 1, Rajaram.S 2 Address for Correspondence 1,2 Department of ECE, Thiagarajar College of Engineering,

More information

Genetic Placement: Genie Algorithm Way Sern Shong ECE556 Final Project Fall 2004

Genetic Placement: Genie Algorithm Way Sern Shong ECE556 Final Project Fall 2004 Genetic Placement: Genie Algorithm Way Sern Shong ECE556 Final Project Fall 2004 Introduction Overview One of the principle problems in VLSI chip design is the layout problem. The layout problem is complex

More information

CALCULATION OF POWER CONSUMPTION IN 7 TRANSISTOR SRAM CELL USING CADENCE TOOL

CALCULATION OF POWER CONSUMPTION IN 7 TRANSISTOR SRAM CELL USING CADENCE TOOL CALCULATION OF POWER CONSUMPTION IN 7 TRANSISTOR SRAM CELL USING CADENCE TOOL Shyam Akashe 1, Ankit Srivastava 2, Sanjay Sharma 3 1 Research Scholar, Deptt. of Electronics & Comm. Engg., Thapar Univ.,

More information

EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs)

EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) September 12, 2002 John Wawrzynek Fall 2002 EECS150 - Lec06-FPGA Page 1 Outline What are FPGAs? Why use FPGAs (a short history

More information

Scan-Chain Design and Optimization for Three-Dimensional Integrated Circuits

Scan-Chain Design and Optimization for Three-Dimensional Integrated Circuits Scan-Chain Design and Optimization for Three-Dimensional Integrated Circuits XIAOXIA WU and PAUL FALKENSTERN Pennsylvania State University KRISHNENDU CHAKRABARTY Duke University and YUAN XIE Pennsylvania

More information

ISSN Vol.03,Issue.29 October-2014, Pages:

ISSN Vol.03,Issue.29 October-2014, Pages: ISSN 2319-8885 Vol.03,Issue.29 October-2014, Pages:5826-5832 www.ijsetr.com Design and Implementation of 180 nm Based Cyclic Combinational Circuits in SOC Technology G.SRUJANA 1, K. SATISH BABU 2 1 PG

More information

Outline. EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) FPGA Overview. Why FPGAs?

Outline. EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) FPGA Overview. Why FPGAs? EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) September 12, 2002 John Wawrzynek Outline What are FPGAs? Why use FPGAs (a short history lesson). FPGA variations Internal logic

More information

ISSN (Online), Volume 1, Special Issue 2(ICITET 15), March 2015 International Journal of Innovative Trends and Emerging Technologies

ISSN (Online), Volume 1, Special Issue 2(ICITET 15), March 2015 International Journal of Innovative Trends and Emerging Technologies VLSI IMPLEMENTATION OF HIGH PERFORMANCE DISTRIBUTED ARITHMETIC (DA) BASED ADAPTIVE FILTER WITH FAST CONVERGENCE FACTOR G. PARTHIBAN 1, P.SATHIYA 2 PG Student, VLSI Design, Department of ECE, Surya Group

More information

Multi-objective Optimization

Multi-objective Optimization Jugal K. Kalita Single vs. Single vs. Single Objective Optimization: When an optimization problem involves only one objective function, the task of finding the optimal solution is called single-objective

More information

Design of a Low Density Parity Check Iterative Decoder

Design of a Low Density Parity Check Iterative Decoder 1 Design of a Low Density Parity Check Iterative Decoder Jean Nguyen, Computer Engineer, University of Wisconsin Madison Dr. Borivoje Nikolic, Faculty Advisor, Electrical Engineer, University of California,

More information

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group I N V E N T I V E DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group Moore s Law & More : Tall And Thin More than Moore: Diversification Moore s

More information

Toward Self-adaptive Embedded Systems: Multi-objective Hardware Evolution

Toward Self-adaptive Embedded Systems: Multi-objective Hardware Evolution Toward Self-adaptive Embedded Systems: Multi-objective Hardware Evolution Paul Kaufmann and Marco Platzner University of Paderborn Abstract. Evolutionary hardware design reveals the potential to provide

More information

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017 Design of Low Power Adder in ALU Using Flexible Charge Recycling Dynamic Circuit Pallavi Mamidala 1 K. Anil kumar 2 mamidalapallavi@gmail.com 1 anilkumar10436@gmail.com 2 1 Assistant Professor, Dept of

More information

A novel technique for fast multiplication

A novel technique for fast multiplication INT. J. ELECTRONICS, 1999, VOL. 86, NO. 1, 67± 77 A novel technique for fast multiplication SADIQ M. SAIT², AAMIR A. FAROOQUI GERHARD F. BECKHOFF and In this paper we present the design of a new high-speed

More information

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi Digital System Design Lecture 2: Design Amir Masoud Gharehbaghi amgh@mehr.sharif.edu Table of Contents Design Methodologies Overview of IC Design Flow Hardware Description Languages Brief History of HDLs

More information

SIDDHARTH GROUP OF INSTITUTIONS :: PUTTUR Siddharth Nagar, Narayanavanam Road QUESTION BANK (DESCRIPTIVE) UNIT-I

SIDDHARTH GROUP OF INSTITUTIONS :: PUTTUR Siddharth Nagar, Narayanavanam Road QUESTION BANK (DESCRIPTIVE) UNIT-I SIDDHARTH GROUP OF INSTITUTIONS :: PUTTUR Siddharth Nagar, Narayanavanam Road 517583 QUESTION BANK (DESCRIPTIVE) Subject with Code : CO (16MC802) Year & Sem: I-MCA & I-Sem Course & Branch: MCA Regulation:

More information

PART A (22 Marks) 2. a) Briefly write about r's complement and (r-1)'s complement. [8] b) Explain any two ways of adding decimal numbers.

PART A (22 Marks) 2. a) Briefly write about r's complement and (r-1)'s complement. [8] b) Explain any two ways of adding decimal numbers. Set No. 1 IV B.Tech I Semester Supplementary Examinations, March - 2017 COMPUTER ARCHITECTURE & ORGANIZATION (Common to Electronics & Communication Engineering and Electronics & Time: 3 hours Max. Marks:

More information

Physical Co-Design for Micro-Fluidically Cooled 3D ICs

Physical Co-Design for Micro-Fluidically Cooled 3D ICs Physical Co-Design for Micro-Fluidically Cooled 3D ICs Zhiyuan Yang, Ankur Srivastava Department of Electrical and Computer Engineering University of Maryland, College Park, Maryland, 20742 Email: {zyyang,

More information

Satisfiability Modulo Theory based Methodology for Floorplanning in VLSI Circuits

Satisfiability Modulo Theory based Methodology for Floorplanning in VLSI Circuits Satisfiability Modulo Theory based Methodology for Floorplanning in VLSI Circuits Suchandra Banerjee Anand Ratna Suchismita Roy mailnmeetsuchandra@gmail.com pacific.anand17@hotmail.com suchismita27@yahoo.com

More information

Design And Implementation Of Reversible Logic Alu With 4 Operations

Design And Implementation Of Reversible Logic Alu With 4 Operations IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p-ISSN: 2278-8735 PP 55-59 www.iosrjournals.org Design And Implementation Of Reversible Logic Alu With 4 Operations

More information

DESIGN AND SIMULATION OF 1 BIT ARITHMETIC LOGIC UNIT DESIGN USING PASS-TRANSISTOR LOGIC FAMILIES

DESIGN AND SIMULATION OF 1 BIT ARITHMETIC LOGIC UNIT DESIGN USING PASS-TRANSISTOR LOGIC FAMILIES Volume 120 No. 6 2018, 4453-4466 ISSN: 1314-3395 (on-line version) url: http://www.acadpubl.eu/hub/ http://www.acadpubl.eu/hub/ DESIGN AND SIMULATION OF 1 BIT ARITHMETIC LOGIC UNIT DESIGN USING PASS-TRANSISTOR

More information

3-D integrated circuits (3-D ICs) have emerged as a

3-D integrated circuits (3-D ICs) have emerged as a IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 6, NO. 4, APRIL 2016 637 Probe-Pad Placement for Prebond Test of 3-D ICs Shreepad Panth, Member, IEEE, and Sung Kyu Lim, Senior

More information

COE 561 Digital System Design & Synthesis Introduction

COE 561 Digital System Design & Synthesis Introduction 1 COE 561 Digital System Design & Synthesis Introduction Dr. Aiman H. El-Maleh Computer Engineering Department King Fahd University of Petroleum & Minerals Outline Course Topics Microelectronics Design

More information

High Speed Radix 8 CORDIC Processor

High Speed Radix 8 CORDIC Processor High Speed Radix 8 CORDIC Processor Smt. J.M.Rudagi 1, Dr. Smt. S.S ubbaraman 2 1 Associate Professor, K.L.E CET, Chikodi, karnataka, India. 2 Professor, W C E Sangli, Maharashtra. 1 js_itti@yahoo.co.in

More information

Physical Design of a 3D-Stacked Heterogeneous Multi-Core Processor

Physical Design of a 3D-Stacked Heterogeneous Multi-Core Processor Physical Design of a -Stacked Heterogeneous Multi-Core Processor Randy Widialaksono, Rangeen Basu Roy Chowdhury, Zhenqian Zhang, Joshua Schabel, Steve Lipa, Eric Rotenberg, W. Rhett Davis, Paul Franzon

More information

Integration of multi physics modeling of 3D stacks into modern 3D data structures

Integration of multi physics modeling of 3D stacks into modern 3D data structures Integration of multi physics modeling of 3D stacks into modern 3D data structures Peter Schneider 1, Andy Heinig 1, Robert Fischbach 2, Jens Lienig 2, Sven Reitz 1, Jörn Stolle 1, Andreas Wilde 1 1 Fraunhofer

More information

AUTOMATED GARBAGE COLLECTION USING GPS AND GSM. Shobana G 1, Sureshkumar R 2

AUTOMATED GARBAGE COLLECTION USING GPS AND GSM. Shobana G 1, Sureshkumar R 2 Volume 118 No. 20 2018, 751-755 ISSN: 1311-8080 (printed version); ISSN: 1314-3395 (on-line version) url: http://www.ijpam.eu ijpam.eu AUTOMATED GARBAGE COLLECTION USING GPS AND GSM Shobana G 1, Sureshkumar

More information

Solid-state drive controller with embedded RAID functions

Solid-state drive controller with embedded RAID functions LETTER IEICE Electronics Express, Vol.11, No.12, 1 6 Solid-state drive controller with embedded RAID functions Jianjun Luo 1, Lingyan-Fan 1a), Chris Tsu 2, and Xuan Geng 3 1 Micro-Electronics Research

More information

Five Emerging DRAM Interfaces You Should Know for Your Next Design

Five Emerging DRAM Interfaces You Should Know for Your Next Design Five Emerging DRAM Interfaces You Should Know for Your Next Design By Gopal Raghavan, Cadence Design Systems Producing DRAM chips in commodity volumes and prices to meet the demands of the mobile market

More information

A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip

A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip 2010 25th International Symposium on Defect and Fault Tolerance in VLSI Systems A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip Min-Ju Chan and Chun-Lung Hsu Department of Electrical

More information

Non-Dominated Bi-Objective Genetic Mining Algorithm

Non-Dominated Bi-Objective Genetic Mining Algorithm Advances in Computational Sciences and Technology ISSN 0973-6107 Volume 10, Number 6 (2017) pp. 1607-1614 Research India Publications http://www.ripublication.com Non-Dominated Bi-Objective Genetic Mining

More information

A Low Power 32 Bit CMOS ROM Using a Novel ATD Circuit

A Low Power 32 Bit CMOS ROM Using a Novel ATD Circuit International Journal of Electrical and Computer Engineering (IJECE) Vol. 3, No. 4, August 2013, pp. 509~515 ISSN: 2088-8708 509 A Low Power 32 Bit CMOS ROM Using a Novel ATD Circuit Sidhant Kukrety*,

More information

Design and Analysis of Kogge-Stone and Han-Carlson Adders in 130nm CMOS Technology

Design and Analysis of Kogge-Stone and Han-Carlson Adders in 130nm CMOS Technology Design and Analysis of Kogge-Stone and Han-Carlson Adders in 130nm CMOS Technology Senthil Ganesh R & R. Kalaimathi 1 Assistant Professor, Electronics and Communication Engineering, Info Institute of Engineering,

More information

Synthesis at different abstraction levels

Synthesis at different abstraction levels Synthesis at different abstraction levels System Level Synthesis Clustering. Communication synthesis. High-Level Synthesis Resource or time constrained scheduling Resource allocation. Binding Register-Transfer

More information

UNIT - V MEMORY P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

UNIT - V MEMORY P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT UNIT - V MEMORY P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents Memory: Introduction, Random-Access memory, Memory decoding, ROM, Programmable Logic Array, Programmable Array Logic, Sequential programmable

More information

Power-Supply-Network Design in 3D Integrated Systems

Power-Supply-Network Design in 3D Integrated Systems Power-Supply-Network Design in 3D Integrated Systems Michael B. Healy and Sung Kyu Lim School of Electrical and Computer Engineering, Georgia Institute of Technology 777 Atlantic Dr. NW, Atlanta, GA 3332

More information

An Evolutive Approach for Designing Thermal and Performance-Aware Heterogeneous 3D-NoCs

An Evolutive Approach for Designing Thermal and Performance-Aware Heterogeneous 3D-NoCs An Evolutive Approach for Designing Thermal and Performance-Aware Heterogeneous 3D-NoCs Johanna Sepúlveda 1,2, Guy Gogniat 2, Ricardo Pires 1, Wang Chau 1, Marius Strum 1 1 Microelectronics Laboratory

More information

Designing 3D Tree-based FPGA TSV Count Minimization. V. Pangracious, Z. Marrakchi, H. Mehrez UPMC Sorbonne University Paris VI, France

Designing 3D Tree-based FPGA TSV Count Minimization. V. Pangracious, Z. Marrakchi, H. Mehrez UPMC Sorbonne University Paris VI, France Designing 3D Tree-based FPGA TSV Count Minimization V. Pangracious, Z. Marrakchi, H. Mehrez UPMC Sorbonne University Paris VI, France 13 avril 2013 Presentation Outlook Introduction : 3D Tree-based FPGA

More information

Implementation of ALU Using Asynchronous Design

Implementation of ALU Using Asynchronous Design IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) ISSN: 2278-2834, ISBN: 2278-8735. Volume 3, Issue 6 (Nov. - Dec. 2012), PP 07-12 Implementation of ALU Using Asynchronous Design P.

More information

Analyzing the Performance of NoC Using Hierarchical Routing Methodology

Analyzing the Performance of NoC Using Hierarchical Routing Methodology Analyzing the Performance of NoC Using Hierarchical Routing Methodology 1 Bibin Lawrence R, 2 Jency Rubia J 1,2 M.E-VLSI Design 1 rbllbr@gmail.com, 2 jencyrubia@gmail.com Vel Tech Multi Tech Dr.Rangarajan

More information

Optimization of Test Scheduling and Test Access for ITC-02 SOC Benchmark Circuits

Optimization of Test Scheduling and Test Access for ITC-02 SOC Benchmark Circuits Journal of Computer Science 5 (4): 290-296, 2009 ISSN 1549-3636 2009 Science Publications Optimization of Test Scheduling and Test Access for ITC-02 SOC Benchmark Circuits 1 P. Sakthivel, 2 R. Delhi Babu

More information

DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech)

DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech) DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech) K.Prasad Babu 2 M.tech (Ph.d) hanumanthurao19@gmail.com 1 kprasadbabuece433@gmail.com 2 1 PG scholar, VLSI, St.JOHNS

More information

ISSN Vol.04,Issue.01, January-2016, Pages:

ISSN Vol.04,Issue.01, January-2016, Pages: WWW.IJITECH.ORG ISSN 2321-8665 Vol.04,Issue.01, January-2016, Pages:0077-0082 Implementation of Data Encoding and Decoding Techniques for Energy Consumption Reduction in NoC GORANTLA CHAITHANYA 1, VENKATA

More information

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER 3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER CODES+ISSS: Special session on memory controllers Taipei, October 10 th 2011 Denis Dutoit, Fabien Clermidy, Pascal Vivet {denis.dutoit@cea.fr}

More information

Implementation of Ripple Carry and Carry Skip Adders with Speed and Area Efficient

Implementation of Ripple Carry and Carry Skip Adders with Speed and Area Efficient ISSN (Online) : 2278-1021 Implementation of Ripple Carry and Carry Skip Adders with Speed and Area Efficient PUSHPALATHA CHOPPA 1, B.N. SRINIVASA RAO 2 PG Scholar (VLSI Design), Department of ECE, Avanthi

More information

Co-optimization of TSV assignment and micro-channel placement for 3D-ICs

Co-optimization of TSV assignment and micro-channel placement for 3D-ICs THE INSTITUTE FOR SYSTEMS RESEARCH ISR TECHNICAL REPORT 2012-10 Co-optimization of TSV assignment and micro-channel placement for 3D-ICs Bing Shi, Ankur Srivastava and Caleb Serafy ISR develops, applies

More information

AN ACCELERATOR FOR FPGA PLACEMENT

AN ACCELERATOR FOR FPGA PLACEMENT AN ACCELERATOR FOR FPGA PLACEMENT Pritha Banerjee and Susmita Sur-Kolay * Abstract In this paper, we propose a constructive heuristic for initial placement of a given netlist of CLBs on a FPGA, in order

More information

High Performance Interconnect and NoC Router Design

High Performance Interconnect and NoC Router Design High Performance Interconnect and NoC Router Design Brinda M M.E Student, Dept. of ECE (VLSI Design) K.Ramakrishnan College of Technology Samayapuram, Trichy 621 112 brinda18th@gmail.com Devipoonguzhali

More information

OpenAccess In 3D IC Physical Design

OpenAccess In 3D IC Physical Design OpenAccess In 3D IC Physical Design Jason Cong, Jie Wei,, Yan Zhang VLSI CAD Lab Computer Science Department University of California, Los Angeles Supported by DARPA and CFD Research Corp Outline 3D IC

More information

The design of a simple asynchronous processor

The design of a simple asynchronous processor The design of a simple asynchronous processor SUN-YEN TAN 1, WEN-TZENG HUANG 2 1 Department of Electronic Engineering National Taipei University of Technology No. 1, Sec. 3, Chung-hsiao E. Rd., Taipei,10608,

More information

VLSI DESIGN OF REDUCED INSTRUCTION SET COMPUTER PROCESSOR CORE USING VHDL

VLSI DESIGN OF REDUCED INSTRUCTION SET COMPUTER PROCESSOR CORE USING VHDL International Journal of Electronics, Communication & Instrumentation Engineering Research and Development (IJECIERD) ISSN 2249-684X Vol.2, Issue 3 (Spl.) Sep 2012 42-47 TJPRC Pvt. Ltd., VLSI DESIGN OF

More information

Packaging Technology for Image-Processing LSI

Packaging Technology for Image-Processing LSI Packaging Technology for Image-Processing LSI Yoshiyuki Yoneda Kouichi Nakamura The main function of a semiconductor package is to reliably transmit electric signals from minute electrode pads formed on

More information