Small-World Network Enabled Energy Efficient and Robust 3D NoC Architectures

Size: px
Start display at page:

Download "Small-World Network Enabled Energy Efficient and Robust 3D NoC Architectures"

Transcription

1 Small-World Network Enabled Energy Efficient and Robust 3D NoC Architectures Sourav Das, Dongjin Lee, Dae Hyun Kim, Partha Pratim Pande School of Electrical Engineering and Computer Science Washington State University, Pullman, USA {sdas, dlee2, daehyun, ABSTRACT Three dimensional (3D) Network-on-Chip (NoC) architectures enable design of low power and high performance communication fabrics for multicore chips. In spite of achievable performance benefits, 3D NoCs are still bottlenecked by the planar interconnects. To exploit the benefits introduced by the vertical dimension, it is imperative to explore novel 3D NoC architectures. In this paper, we propose design of a small-world (SW) network based 3D NoCs. We demonstrate that the proposed 3D SW NoC outperforms its conventional 3D mesh-based counterparts. On average, it provides ~25% reduction in the energy delay product (EDP) compared to 3D MESH without introducing any additional link overhead in presence of conventional SPLASH-2 and PARSEC benchmarks. The proposed 3D SW NoC is more robust in presence of TSV failures and performs better than fault-free 3D MESH even in the presence of 25% TSVs failure. Categories and Subject Descriptors C.2.1 [Computer-Communication Networks]: Network Architecture and Design General Terms Algorithms, Performance, Design Keywords 3D NoC; small-world; latency; energy dissipation; TSV 1. INTRODUCTION Three dimensional (3D) Network-on-Chip (NoC) is an emerging paradigm that takes advantages of amalgamation of two emerging technologies, NoC and 3D IC. It allows for the creation of new structures that enable significant performance enhancements over more traditional solutions. With freedom in the third dimension, architectures that were impossible or prohibitive due to wiring constraints in planar ICs are now possible, and many 3D implementations can outperform their 2D counterparts. Existing 3D NoC architectures predominantly follow straightforward extension of regular 2D NoCs. However, this does not exploit the advantages provided by the 3D integration technology appropriately. The additional degree of freedom provided by the vertical connections enables design of more efficient irregular architectures. In this context, design of small-world network-based NoC architectures [1] is a notable example. It is already shown that either by inserting long-range shortcuts in a regular mesh architecture to induce smallworld effects or by adopting power-law based small-world Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from permissions@acm.org. GLSVLSI '15, May 20-22, 2015, Pittsburgh, PA, USA. Copyright 2015 ACM /15/05 $ Switch Core SW connection in XY-plane for each die Regular vertical TSV Figure 1: Conceptual view of 3D SW NoC with TSVs. For simplicity, only one logical XY-plane SW connection is shown here. connectivity it is possible to achieve significant performance gain and lower energy dissipation compared to traditional multi-hop mesh networks [1][2]. In this work, we advocate that this concept of small-worldness should be adopted in 3D NoCs too. More specifically, the vertical links in 3D NoCs should enable design of long-range shortcuts necessary for a small-world network. These vertical connections give rise to close proximity among communicating nodes that would have been far apart in a solely planar system. In this work, we propose design of 3D small-world (SW) NoC architectures. Considering the perfectly aligned vertical link placement constraints of Through Silicon Vias (TSVs), we first determine the suitable design parameters of 3D SW NoCs. Next, by exploiting the vertical dimension in a 3D IC, the tasks are mapped among the cores in such a way that physically long distant and highly communicating cores are placed along the vertical dimension, and hence overall system performance can be significantly improved. Through rigorous experiments we demonstrate that the proposed architecture is capable of achieving better performance and lower energy dissipation compared to conventional 3D MESH architectures. As the TSVs are costly in terms of fabrication, area overhead, energy dissipation; we investigate the performance degradation of different NoCs due to TSV failure. We demonstrate that for up to 25% of TSV failure, the proposed 3D SW NoC is capable of achieving better performance than a fault-free 3D MESH architecture. 2. RELATED WORK 3D NoC has emerged as one of the compelling solutions to design high performance and low power communication infrastructure for multicore chips. The natural extension of 2D planar architecture was the simple and regular 3D mesh-based NoC, which has been investigated in many existing works [3][4][5]. NoC-bus hybrid architecture was proposed in [6] that used central bus arbiter and 133

2 Dynamic Time Division Multiple Access (dtdma) technique for bus access in the vertical dimension to reduce the network latency. To take advantage of vertical short distance inherent in 3D integration, 3D Dimensionally Decomposed (DimDe) NoC router architecture [7] was developed that reduces the total energy consumption, but latency was not minimized. Reducing the number of input ports, an improved version of 3D NoC router architecture was developed in [8]. As all of these architectures have buses in the Z-dimension, with increase in the network size, they are subject to traffic congestion and high latency under high traffic injection loads. Integration of 3D IC and on-chip photonics was exploited in the design of hybrid NoC architectures [9][10]. These architectures are capable of providing very high bandwidth and low power dissipation. However, the challenges of integrating two emerging paradigms, 3D IC and silicon Nano-photonics have not been overcome yet. In this work we propose a robust 3D NoC architecture that combines the benefits of reduced vertical distance of 3D ICs and the low hop-count and robustness of small-world architecture. We present detailed design methodologies for developing the 3D SW NoC, evaluate its performance with respect to conventional 3D MESH and other irregular architectures by incorporating suitable network routing algorithms. 3. PROPOSED 3D NOC Modern complex network theory provides a powerful method to analyze network topologies. Between a regular, locally interconnected mesh network and a completely random Erdös- Rényi topology, there are other classes of graphs, such as smallworld and scale-free graphs. Small-world graphs have a very short average path length, defined as the number of hops between any pair of nodes. The average shortest path length of small-world graphs is bounded by a polynomial in log (N), where N is the number of nodes, making them particularly interesting for efficient communication with minimal resources [11]. NoCs incorporating small-world connectivity can perform significantly better than locally interconnected mesh-like networks [1], yet they require far fewer resources than a fully connected system. 3.1 Topology of Network Our goal is to use the small-world (SW) approach to build a highly efficient 3D-NoC with planar links and TSVs in the vertical dimension. We consider 4 layers in this 3D NoC topology and the dimension of each layer is 10 mm x 10 mm Communication path length (µ) In the proposed 3D NoC topology (Figure 1), each core is connected to a switch; the switches are interconnected using planar and TSV links. The topology of the NoC is a small-world network where the links between switches are established following a power law distribution. More precisely, the probability P(i,j) of establishing a link between two switches i and j, separated by an Euclidean distance lij, is proportional to the distance raised to a finite power as in [11]: ij fij P i, j (1) f i j ij ij The frequency of traffic interaction between cores, fij, is also factored in, so that the more frequently communicating cores have a higher probability of having a direct link between them. This frequency is expressed as the percentage of traffic generated from core i that is sent to core j. This approach implicitly optimizes the network architecture for a non-uniform traffic scenario. Getting now into details, the parameter α governs the nature of Loop with different values of α Initialize random network with link distribution,< kavg>, <kmax> Perform Simulated Annealing on optimization metric, µ for optimal link position Optimal SW configuration for given α Store best 3D SW NoC configuration for each α Number of links, network nodes, placement of Cores, range of values for α Determine the link distribution for α Input the optimized core mapping position to minimize fij*dij Choose 3D SW NoC that gives lowest EDP Final value of α reached? Compare the EDP of each optimized 3D SW NoC corresponding to each α Figure 2: Our network creation algorithm for 3D SW NoC. connectivity; in particular, a larger α would mean a locally connected network with a few, or even no long-range links. By the same token, a zero value of α would generate an ideal small-world network following the Watts-Strogatz model [11] one with longrange shortcuts that are virtually independent of the distance between the cores. It has been shown that α being less than D + 1, D being the dimension of the network, ensures the small-worldness [12]. Overall, the parameter α, affects the NoC performance significantly. Thus, for our proposed architecture, we first focus on determining the parameter α considering the constraints of the 3D network structure. To be specific, as we consider TSVs for vertical connection, these links need to be perfectly aligned along the z- dimension and we can apply irregularities following power lawbased interconnection in the planar dies only. In order to determine α, we focus on optimizing the parameter, µ, which is the average path length for any message. Optimizing the average path length ensures less utilization of network resources and improvement in the network performance both in terms of latency and energy dissipation. We define µ as the product of hop count, frequency of communication and link length between any pair of source and destination- µ = i j (m h ij + d ij ) f ij (2) Where hij is hop count between switches i and j; m is number of stages inside a NoC switch; fij is frequency of communication and dij is physical distance corresponding to each hop calculated along the path. So any network that possesses low µ will achieve low message latency and energy dissipation and hence low energydelay-product (EDP) D SW NoC design steps As mentioned above, the parameter α governs the nature of the connectivity. Hence, we first determine the value of this parameter that will help us in designing the 3D SW NoC architecture with least EDP. To build 3D SW NoCs with optimum value of α, we develop the design flow shown in Figure 2. The inputs to the flow Yes No 134

3 are the total number of links, the total number of nodes, the locations of the cores, and α. The design flow is as follows: 1. For a given α, we calculate the link length distribution following (1). In this work, we constrain the number of total links equal to that of 3D MESH and the system size is 4x4x4. 2. We follow the constraint of perfectly aligned regular vertical link placement along the z-dimension. This is because along the z- dimension, we use TSVs and they need to be perfectly aligned. 3. The small-world network has an irregular connectivity. Hence, the number of links connected to each switch is not a constant. For fair comparison between our small-world network and 3D MESH, we assume that both of them use the same average number of connections, <kavg> per switch. This also ensures that the 3D SW NoC does not introduce additional links compared to 3D MESH. For a 64-core system, <kavg> is 4.5 considering all the switches including the peripheral ones. In addition, the maximum connectivity per node, <kmax>, is set to be 7 for the SW network as found in [13] [14]. 4. To develop our SW network, we consider the communication frequency fij between any pair of source and destination nodes and try to optimize µ for network performance. We map the tasks among the cores in such a way that the overall µ decreases. To do this, we place highly communicating and long distant nodes along the vertical dimensions. Placing the physically long links in the vertical dimension provides two benefits. The wireline energy consumption reduces due to the reduction of the link length and the number of repeaters needed in a long planar wire. Moreover, the reduced network latency minimizing the probability of traffic congestion eventually reduces the overall power consumption. 5. After task remapping, we first build a random network with the link distribution determined at step 1. Then simulated annealing (SA) is performed to reduce µ. A solution perturbation method we use in the simulated annealing is to randomly choose an existing link, remove the link, and create a new link of the same length between two other nodes. The convergence criteria are the total number of perturbations, the lowest temperature, and the lowest bound (0.1% in our experiments) on the amount of performance improvement compared to the previous network configuration after a pre-determined number of perturbations. In this way, we develop the optimum 3D SW NoC configuration for a given α. 6. We build the optimum 3D NoC using the above steps for a given α. Consequently, we vary α within a certain range (determined experimentally in section 4) and determine the optimum 3D NoC for each α using our design flow. Finally to choose the optimum value of α for 3D SW NoC, we consider the particular network configuration that minimizes the EDP of the NoC. The simulation results will be shown in Section 4. Following the above-mentioned steps and considering the constraints of network resources, we can develop optimized 3D SW NoC for any given set of applications D SW- Bus NoC In addition, we also consider 3D SW-BUS NoC architecture where we replace the network connections (Point-to-Point (P2P)) between the planar layers with TSV bus. As the distance between the individual 2D layers in 3D IC is small, bus is also a possibility for communicating in the Z-dimension [6]. For consistency with [15], our analysis considers the use of a dynamic time-division multipleaccess (dtdma) bus, although any other type of bus may be used as well. 3.2 Other 3D NoCs under Consideration To benchmark the performance of our proposed 3D SW NoC architecture with respect to other irregular 3D NoCs, we consider the Mesh-Random-Random-Mesh (mrrm) and Random-randomrandom-random (rrrr) architecture as suggested in [15]. Like the 3D SW architecture, in this case also we keep the total number of links to be equal to that of a 3D MESH. The characteristics of these two architectures are: mrrm: Point to point (P2P) TSV based 3D NoC that consists of two planar layers of mesh-based interconnection architecture and the rest two layers have random interconnection patterns. rrrr: P2P TSV based 3D NoC that consists of four layers of random interconnection networks. To construct the random architectures for mrrm and rrrr configurations, 1000 random connection matrices were generated and we obtained the average of the average hop count over these 1000 matrices. Then the particular connection matrix, which has the closest average hop-count to the average of average hop-count, was selected for the random architecture 3.3 Routing Algorithm ALASH For regular 3D MESH architecture, XYZ or adaptive-z are the preferred routing algorithms for their simplicity. For irregular architectures such as the small-world network, the topology agnostic Adaptive Layered Shortest Path Routing (ALASH) algorithm is proved to be suitable [16]. ALASH is built upon the layered shortest path (LASH) algorithm, but has better flexibility by allowing each message to adaptively switch paths, letting the message choose its own route at every intermediate switch. We incorporate the ALASH routing for our 3D SW architecture Elevator-First (EF) Recently Elevator-first (EF) algorithm was proposed for 3D NoCs with limited vertical links [17]. To achieve deadlock-free routing in this algorithm, a message is restricted to revisit any xy-layer after it has already left that layer. To ensure this condition for an irregularly-connected xy-layer, we need to make sure that there should be at least one path between every source and destination pair in that layer. This puts additional constraints on irregular networks and SW NoC. Our proposed 3D-SW NoC has an irregular topology in the xy-plane, so we put this additional restriction on the proposed architecture keeping all other design parameters same. The performance of 3D SW NoC with EF algorithm is marked as 3D EF in this paper. We then compare the 3D EF s performance with respect to the ALASH-based design. 4. EXPERIMENTAL RESULTS AND ANALYSIS 4.1 Simulation Setup To evaluate the performance of the proposed 3D SW NoC, we use a cycle accurate NoC simulator that can simulate any regular or irregular 3D architecture. Our system consists of 64 cores and 64 switches equally partitioned in four layers. Four SPLASH-2 benchmarks, FFT, RADIX, LU, and WATER [18], and five PARSEC benchmarks, DEDUP, VIPS, FLUIDANIMATE, CANNEAL, and BODYTRACK [19] are used. The width of each link is the same as the flit width, which is 32 bits. Each packet consists of 64 flits. The NoC simulator uses switches synthesized from an RTL level design using TSMC 65-nm CMOS process in Synopsys Design Vision. All switch ports have a buffer depth of two flits and each switch port has four virtual channels in case of 135

4 Figure 3: Variation of EDP w.r.t the connectivity parameter α. irregular NoC. Hence, four layers are created in ALASH routing. Energy dissipation of the network switches, inclusive of the routing strategies, were obtained from the synthesized netlist by running Synopsys Prime Power, while the energy dissipated by wireline links was obtained through HSPICE simulations, taking into consideration the length of the wireline links. 4.2 Effects of Connectivity Parameter α and Task remapping In this section, we first determine the connectivity parameter- α for our proposed 3D SW NoC. We vary the connectivity parameter α from 1.0 to 3.6 and find the best α that gives us the lowest energydelay product (EDP). Figure 3 shows the EDP values of our 3D SW NoC architecture for each α. The EDP values are normalized to those of 3D MESH NoC for all the benchmarks. As seen from the figure, as α increases from 1.0 to 2.4, the EDP decreases almost steadily. When α is small, large number of long-range links are likely to be placed at the cost of reduced local, short-range links. Although this configuration improves long-distance data exchange, it compromises the local communications, which have larger impact on the EDP than the long-distance communications. Thus, the EDP is high when α is small. As α increases from 2.4 to 3.6, most of the links become local, short-range links and the network approaches the regular multi-hop pattern that also results in high EDP. Therefore, we need to choose a value of α that achieves a compromise between these two extreme cases. If we increase α beyond 3.6, the long-range links become almost non-existent and the NoC architecture becomes very close to 3D MESH. Hence, we do not consider α beyond this. To visualize the effect of α more clearly, Figure 4 plots the average EDP of the nine benchmarks. As shown in the figure, α=2.4 gives us the lowest EDP on average, so we choose α= 2.4 for our 3D SW NoC design. Remapping tasks among the cores helps to improve NoC performance. However, task remapping may affect the traffic congestion. Figure 5 shows the percentage of total traffic carried by Normalized average EDP of 9 Benchmark Avg EDP of 9 Benchmark α-parameter of 3D SW NoC Figure 4: Average normalized EDP of the 9 benchmarks of 3D SW NoC with variation in α (normalized to 3D MESH). Figure 5: Percentage of total traffic carried out by each layer before and after task remapping. each die before and after remapping the tasks. We observe from this figure that the task remapping slightly increases the traffic carried by the second and the third dies, but it reduces the traffic in the first and the fourth dies. Therefore, it is clear that our task remapping does not lead to noticeable traffic congestion in any particular layer. 4.3 Comparison with Other Existing NoCs In this section, we compare the performance of the proposed 3D SW NoC with other 2D and 3D NoC architectures. Especially, we consider the following existing architectures: 2D MESH, 2D smallworld (2D SW), and 3D MESH, 3D mesh-bus hybrid architecture (3D MESH-BUS), 3D small-world (3D SW), and 3D SW-bus hybrid architecture (3D SW-BUS). For regular connection of 2D and 3D MESH, we use the XY and XYZ- dimension order routing whereas for all the SW architectures, we use ALASH-based routing. We also implemented the Elevator-first routing algorithm for 3D-SW and 3D SW-BUS NoCs to demonstrate the benefits of ALASH routing algorithm for irregular architectures. The 3D SW and 3D SW-BUS with the Elevator-first algorithm are marked as 3D EF and 3D EF-BUS, respectively. Since we are interested in both network latency and energy consumption, we use the unified EDP for the NoC performance metric. Figure 6 shows energydelay-product (EDP) values of all the NoC architectures. All the EDP values are normalized to those of the conventional 2D MESH. Figure 6: Energy-Delay product (EDP) normalized to 2D MESH for different NoCs. 136

5 The EDP for all the 3D NoCs is less than that of the 2D architectures. The value of the communication path length µ as shown in Table 1 helps us to analyze the reduction of EDP for 3D NoCs. Any network with lower value of µ, offers reduced weighted average hop count. On average, messages consume less network resources both from latency and energy perspective for that network. All the 3D NoCs have lower value of µ compared to 2D MESH and 2D SW and hence all of them perform better than 2D NoCs. 3D SW NoC possesses lowest value of µ among all NoCs. For 3D SW NoC, the remapping of the tasks for highly communicating and distant cores along the Z-dimension helps reducing the value of µ from 25.8 to As a result, after remapping, the EDP value for 3D SW NoC reduces significantly. On an average, 3D SW shows ~25% reduction in EDP value compared to 3D MESH. Among the different 3D NoCs, 3D SW- BUS shows comparable EDP with 3D SW for relatively lower injection benchmarks i.e. LU, FFT, and VIPS. The bus is shared medium and performs efficiently whenever there are no access contentions among the connected nodes. For low traffic injection scenario, bus works as long range shot cuts between distant nodes without giving rise to contentions. However, for the comparatively higher traffic injection rates e.g. CANNEAL and BODYTRACK, bus access becomes a bottleneck and it results in traffic congestion. So network latency increases for 3D SW BUS and the performance degrades compared to 3D SW. Next, we compare the performance of ALASH and Elevator-first routing algorithms implemented for the 3D SW and 3D SW-BUS architectures. ALASH routing algorithm ensures shortest path between a source-destination pair. Moreover, ALASH algorithm chooses paths based on traffic density and avoids traffic congestion on switches [14]. As a result it helps minimizing the latency and consequently energy consumption for any NoCs and performs better than other routing algorithms. On the other hand, Elevatorfirst routing algorithm is suited for 3D NoC with limited number of vertical links; it does not take into account traffic density of the X- Y planes. As a result, on average it encounters more traffic congestion and ultimately reroutes through longer paths compared to ALASH. Hence, by incorporating ALASH-based routing the latencies of 3D SW and 3D SW-BUS are less than 3D EF and 3D EF-BUS NoCs. 4.4 Comparison of 3D SW with Die Level Irregular NoCs In this section, we compare the performance of the 3D SW NoCs with two recently proposed 3D irregular architectures, meshrandom-random-mesh (mrrm) and random-random-randomrandom (rrrr) as described in Section 3.2. Figure 7 shows the EDP for these architectures normalized with respect to the EDP of the 3D MESH. As the figure shows, the 3D SW architecture outperforms the mrrm and rrrr architectures. The mrrm and rrrr architectures have random distribution of interconnects instead of the power-law-based distribution. For rrrr, the link distribution contains large number of medium- and long-range links with the expense of short range links. As a result, the nearby communication Table 1: Path length (µ) for different NoCs NoC type Communication path length (µ) 2D MESH D SW D MESH D EF D mrrm D rrrr D SW (w/o task remapping) D SW (w/ task remapping) suffers from long latency and power dissipation. In mrrm, the link distribution is very close to 3D SW NoC in two layers only whereas the rest of the two layers consist of multi-hop mesh links. The power-law-based 3D SW NoC architecture balances both nearby and long distant communication and hence its performance is superior to both- rrrr and mrrm. In addition, long-range links are generally traffic attractors, so they lead to higher traffic congestion for some nodes if they are not optimized properly. Finally, as shown in table 1, the average communication path length µ of 3D SW NoC is lowest and hence it performs better than all these irregular architectures. 4.5 Robustness of 3D SW Architecture The 3D NoC architectures considered here use TSVs for vertical communication. TSVs are subject to failures due to voids, cracks, and misalignment [20]. Thus, we analyze the performance of 3D NoCs for a broad range of TSV failure scenario-5%, 10%, and 25% of the total vertical links. When some of the TSVs fail, we remove them, so the resultant NoC becomes partially vertically connected as suggested in [17]. To evaluate the robustness of our 3D SW NoC architecture and the ALASH routing algorithm separately, we perform two-step experiments. First, we demonstrate the robustness of the 3D SW NoC architecture. We consider the mrrm architecture for comparison as it was found to be the best among all the other 3D architectures considered in this work. Then we focus on analyzing the role of the ALASH and EF routing algorithms in presence of TSV failure. The 3D SW NoCs incorporating ALASH and EF algorithms are marked as 3D SW and 3D EF respectively. Figure 8 shows the EDP of 3D SW NoC, mrrm and 3D EF incorporating different TSV failures. The EDP is normalized to a fault-free 3D MESH NoC. The TSV failure scenario has been chosen randomly from one thousand trials. It is seen that, for all range of TSV failures, the EDP of 3D SW NoC is always better than that of mrrm. Presence of different irregular connectivity and power-law based link distribution on each die for 3D SW NoC helps increase its robustness in case of TSV failure. In addition, we observe that, 3D SW NoC with 25% of the total vertical links failure has a lower EDP than completely fault free 3D MESH. Moreover, both mrrm and 3D EF perform worse than 3D MESH in presence of the same amount of vertical link failure. Figure 7: EDP value normalized to 3D MESH for different 3D NoCs. 137

6 Figure 8: Normalized EDP in presence of random vertical link failure (VLF). The Elevator-first algorithm mainly focuses on optimizing performance of partially vertically connected 3D NoCs [17]. Here we compare the performance of the NoC with vertical link failures by incorporating the ALASH and EF routing algorithms. ALASH ensures alternative shortest-path in case of link failure by adopting multi-path routing whereas EF always focuses on minimization of vertical distance without ensuring overall minimum path length. Consequently, removing higher number of TSVs from 3D SW NoC increases the traffic congestion probability for some of the vertical links in case of EF algorithm. As a result, the EDP of 3D EF with vertical link failure scenario is always higher than that of 3D SW implemented with ALASH. 5. CONCLUSION This paper advocates design of small-world network-based 3D NoC architecture that predominantly uses the vertical links as the long-range shortcuts. In this way we are able to bring physically far and highly interacting nodes to logical proximity so that they are easily accessible to each other. This 3D NoC architecture not only outperforms existing regular mesh-based counterparts; it also offers better performance with respect to other recently proposed irregular architectures. The power-law based connectivity of this 3D SW NoC also makes it very robust against TSV failures. Even with 25% vertical link failure, it achieves better performance than fault-free 3D MESH-based NoC. 6. ACKONWLEDGEMENT This work was supported in part by the US National Science Foundation (NSF) grants CCF , CNS , and CCF , and Army Research Office grant W911NF REFERENCES [1] U. Y. Ogras, R. Marculescu, It s a Small World After All : NoC Performance Optimization via Long-Range Link Insertion, IEEE Trans. on VLSI Systems, Vol. 14, pp , [2] C. Teuscher, Nature-inspired interconnects for selfassembled large-scale network-on-chip designs, e-print arxiv: arxiv: [3] V.F. Pavlidis, E. G. FriedMan, 3-D Topologies for Networks on-chip, IEEE Trans on VLSI Systems, Vol 15, pp , [4] B. S. Feero, P.P. Pande Networks-on-Chip in a Three- Dimensional Environment: A Performance Evaluation, IEEE Trans. on Computers, Vol 53, pp , Aug [5] A.W. Topol, et al. Three-Dimensional Integrated Circuits, IBM Journal of Research and Development, Vol. 50, nos. 4/5, July [6] F. Li, C. Nicopoulos, T. Richardson, Y. Xie, V. Narayanan, M. Kandemir High-Performance and Fault-Tolerant 3D NoC-Bus Hybrid Architecture Using ARB-NET Based Adaptive Monitoring Platform IEEE Trans on Computers, Vol. 63, pp , Aug [7] J. Kim et al., A novel dimensionally-decomposed router for on-chip communication in 3D architectures, in Proc. of Annual Intl. Symp. On Computer Architecture, June 2007, pp [8] A. M. Rahmani et al., High-Performance and Fault-Tolerant 3D NoC-Bus Hybrid Architecture Using ARB-NET Based Adaptive Monitoring Platform, IEEE Trans. on Computers, vol 63, pp , Mar [9] D. Vantrease et al., Corona: System Implications of Emerging Nanophotonic Technology, In Proc. of 35th Int l Symposium Computer Architecture, pp , June [10] R. W. Morris, A. K. Kodi, A. Louri, R. D. Whaley Three- Dimensional Stacked Nanophotonic Network-on-Chip Architecture with Minimal Reconfiguration, IEEE Transaction on Computers, Vol. 63, pp , Jan [11] T. Petermann, P. D. L. Rios, Spatial small-world networks: a wiring-cost perspective, e-print arxiv:cond-mat/ [12] T. Petermann, P. D. L. Rios, Physical realizability of smallworld networks, Phys. Rev. E 73, Feb [13] R. Kim, G. Liu, P. Wettin, R. Marculescu, D. Marculescu, P. P. Pande, Energy-Efficient VFI-Partitioned Multicore Design Using Wireless NoC Architectures, In Proc. of Intl Conf on, CASES [14] P. Wettin et al., Performance evaluation of wireless NoCs in presence of irregular network routing strategies, in Proc. of DATE, pp.1-6, [15] H. Matsutani et al., Low-Latency Wireless 3D NoCs via Randomized Shortcut Chips, in Proc. of DATE, [16] O. Lysne, T. Skeie, S. A. Reinemo, I. Theiss, Layered Routing in Irregular Networks, IEEE Transaction on Parallel and Distributed System, vol. 17, 2006, pp [17] F. Dubois, A. Sheibanyrad, F. Petrot, M. Bahmani, Elevator-First: A Deadlock-Free Distributed Routing Algorithm for Vertically Partially Connected 3D-NoCs, IEEE Trans. on Computers, vol. 62, 2013, pp [18] S. C. Woo, M. Ohara, E. Torrie, J. P. Singh, A. Gupta, The SPLASH-2 programs: characterization and methodological considerations, In Proc. of International Symposium on Computer Architecture, 1995, pp [19] C. Bienia, Benchmarking modern multiprocessors, Ph.D. Dissertation, Princeton Univ., Princeton NJ, Jan [20] I. Loi, F. Angiolini, S. Mitra, L. Benini, Characterization and Implementation of Fault-Tolerant Vertical Links for 3-D Networks-on-Chip, IEEE Trans. Computer Aided Design of Integrated Circuit and System, vol. 30, 2011, pp

The (Low) Power of Less Wiring: Enabling Energy Efficiency in Many-Core Platforms Through Wireless NoC (Invited Paper)

The (Low) Power of Less Wiring: Enabling Energy Efficiency in Many-Core Platforms Through Wireless NoC (Invited Paper) The (Low) Power of Less Wiring: Enabling Energy Efficiency in Many-Core Platforms Through Wireless NoC (Invited Paper) Partha Pratim Pande, Ryan Gary Kim, Wonje Choi School of EECS, Washington State University,

More information

DVFS-ENABLED SUSTAINABLE WIRELESS NoC ARCHITECTURE

DVFS-ENABLED SUSTAINABLE WIRELESS NoC ARCHITECTURE DVFS-ENABLED SUSTAINABLE WIRELESS NoC ARCHITECTURE Jacob Murray, Partha Pratim Pande, Behrooz Shirazi School of Electrical Engineering and Computer Science Washington State University {jmurray, pande,

More information

Energy-Efficient Multicore Chip Design Through Cross-Layer Approach

Energy-Efficient Multicore Chip Design Through Cross-Layer Approach Energy-Efficient Multicore Chip Design Through Cross-Layer Approach Paul Wettin, Jacob Murray, Partha Pande, Behrooz Shirazi School of Electrical Engineering and Computer Science Washington State University

More information

Performance Evaluation of Wireless NoCs in Presence of Irregular Network Routing Strategies

Performance Evaluation of Wireless NoCs in Presence of Irregular Network Routing Strategies Performance Evaluation of Wireless NoCs in Presence of Irregular Network Routing Strategies Paul Wettin, Jacob Murray, Ryan Kim, Xinmin Yu, Partha Pratim Pande, Deukhyoun Heo School of Electrical Engineering

More information

Planar Wireless NoC Architectures

Planar Wireless NoC Architectures Planar Wireless NoC Architectures Partha Pratim Pande and Deukhyoun Heo School of Electrical Engineering and Computer Science Additional Contributors: Amlan Ganguly, Sujay Deb, Paul WeCin, Jacob Murray,

More information

Network on Chip Architecture: An Overview

Network on Chip Architecture: An Overview Network on Chip Architecture: An Overview Md Shahriar Shamim & Naseef Mansoor 12/5/2014 1 Overview Introduction Multi core chip Challenges Network on Chip Architecture Regular Topology Irregular Topology

More information

Design Space Exploration for Wireless NoCs Incorporating Irregular Network Routing

Design Space Exploration for Wireless NoCs Incorporating Irregular Network Routing > REPLACE THIS LINE WITH YOUR PAPER IDENTIFICATION NUMBER (DOUBLE-CLICK HERE TO EDIT) < Design Space Exploration for Wireless NoCs Incorporating Irregular Network Routing P. Wettin, Student Member, IEEE,

More information

Noc Evolution and Performance Optimization by Addition of Long Range Links: A Survey. By Naveen Choudhary & Vaishali Maheshwari

Noc Evolution and Performance Optimization by Addition of Long Range Links: A Survey. By Naveen Choudhary & Vaishali Maheshwari Global Journal of Computer Science and Technology: E Network, Web & Security Volume 15 Issue 6 Version 1.0 Year 2015 Type: Double Blind Peer Reviewed International Research Journal Publisher: Global Journals

More information

OVERVIEW: NETWORK ON CHIP 3D ARCHITECTURE

OVERVIEW: NETWORK ON CHIP 3D ARCHITECTURE OVERVIEW: NETWORK ON CHIP 3D ARCHITECTURE 1 SOMASHEKHAR, 2 REKHA S 1 M. Tech Student (VLSI Design & Embedded System), Department of Electronics & Communication Engineering, AIET, Gulbarga, Karnataka, INDIA

More information

Thermal Hotspot Reduction in mm-wave Wireless NoC Architectures

Thermal Hotspot Reduction in mm-wave Wireless NoC Architectures Thermal Hotspot Reduction in mm-wave Wireless NoC Architectures Jacob Murray, Paul Wettin, Ryan Kim, Xinmin Yu, Partha Pratim Pande, Behrooz Shirazi, Deukhyoun Heo School of Electrical Engineering and

More information

CMOS Compatible Many-Core NoC Architectures with Multi-Channel Millimeter-Wave Wireless Links

CMOS Compatible Many-Core NoC Architectures with Multi-Channel Millimeter-Wave Wireless Links CMOS Compatible Many-Core NoC Architectures with Multi-Channel Millimeter-Wave Wireless Links Sujay Deb, Kevin Chang, Miralem Cosic, Partha Pande, Deukhyoun Heo, Benjamin Belzer School of Electrical Engineering

More information

Energy Efficient MapReduce with VFI-enabled Multicore Platforms

Energy Efficient MapReduce with VFI-enabled Multicore Platforms Energy Efficient MapReduce with VFI-enabled Multicore Platforms Karthi Duraisamy *, Ryan Gary Kim *, Wonje Choi *, Guangshuo Liu, Partha Pratim Pande *, Radu Marculescu, Diana Marculescu *School of EECS

More information

COMPARATIVE PERFORMANCE EVALUATION OF WIRELESS AND OPTICAL NOC ARCHITECTURES

COMPARATIVE PERFORMANCE EVALUATION OF WIRELESS AND OPTICAL NOC ARCHITECTURES COMPARATIVE PERFORMANCE EVALUATION OF WIRELESS AND OPTICAL NOC ARCHITECTURES Sujay Deb, Kevin Chang, Amlan Ganguly, Partha Pande School of Electrical Engineering and Computer Science, Washington State

More information

BARP-A Dynamic Routing Protocol for Balanced Distribution of Traffic in NoCs

BARP-A Dynamic Routing Protocol for Balanced Distribution of Traffic in NoCs -A Dynamic Routing Protocol for Balanced Distribution of Traffic in NoCs Pejman Lotfi-Kamran, Masoud Daneshtalab *, Caro Lucas, and Zainalabedin Navabi School of Electrical and Computer Engineering, The

More information

WITH the development of the semiconductor technology,

WITH the development of the semiconductor technology, Dual-Link Hierarchical Cluster-Based Interconnect Architecture for 3D Network on Chip Guang Sun, Yong Li, Yuanyuan Zhang, Shijun Lin, Li Su, Depeng Jin and Lieguang zeng Abstract Network on Chip (NoC)

More information

MILLIMETER-WAVE WIRELESS NETWORK-ON-CHIP: A CMOS COMPATIBLE INTERCONNECTION INFRASTRUCTURE FOR FUTURE MANY-CORE PROCESSORS SUJAY DEB

MILLIMETER-WAVE WIRELESS NETWORK-ON-CHIP: A CMOS COMPATIBLE INTERCONNECTION INFRASTRUCTURE FOR FUTURE MANY-CORE PROCESSORS SUJAY DEB MILLIMETER-WAVE WIRELESS NETWORK-ON-CHIP: A CMOS COMPATIBLE INTERCONNECTION INFRASTRUCTURE FOR FUTURE MANY-CORE PROCESSORS By SUJAY DEB A dissertation submitted in partial fulfillment of the requirements

More information

Network on Chip Architectures BY JAGAN MURALIDHARAN NIRAJ VASUDEVAN

Network on Chip Architectures BY JAGAN MURALIDHARAN NIRAJ VASUDEVAN Network on Chip Architectures BY JAGAN MURALIDHARAN NIRAJ VASUDEVAN Multi Core Chips No more single processor systems High computational power requirements Increasing clock frequency increases power dissipation

More information

A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip

A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip 2010 25th International Symposium on Defect and Fault Tolerance in VLSI Systems A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip Min-Ju Chan and Chun-Lung Hsu Department of Electrical

More information

Enhancing Performance of Network-on-Chip Architectures with Millimeter-Wave Wireless Interconnects

Enhancing Performance of Network-on-Chip Architectures with Millimeter-Wave Wireless Interconnects Enhancing Performance of etwork-on-chip Architectures with Millimeter-Wave Wireless Interconnects Sujay Deb, Amlan Ganguly, Kevin Chang, Partha Pande, Benjamin Belzer, Deuk Heo School of Electrical Engineering

More information

Fault Tolerant and Secure Architectures for On Chip Networks With Emerging Interconnect Technologies. Mohsin Y Ahmed Conlan Wesson

Fault Tolerant and Secure Architectures for On Chip Networks With Emerging Interconnect Technologies. Mohsin Y Ahmed Conlan Wesson Fault Tolerant and Secure Architectures for On Chip Networks With Emerging Interconnect Technologies Mohsin Y Ahmed Conlan Wesson Overview NoC: Future generation of many core processor on a single chip

More information

4. Networks. in parallel computers. Advances in Computer Architecture

4. Networks. in parallel computers. Advances in Computer Architecture 4. Networks in parallel computers Advances in Computer Architecture System architectures for parallel computers Control organization Single Instruction stream Multiple Data stream (SIMD) All processors

More information

Evaluation of NOC Using Tightly Coupled Router Architecture

Evaluation of NOC Using Tightly Coupled Router Architecture IOSR Journal of Computer Engineering (IOSR-JCE) e-issn: 2278-0661,p-ISSN: 2278-8727, Volume 18, Issue 1, Ver. II (Jan Feb. 2016), PP 01-05 www.iosrjournals.org Evaluation of NOC Using Tightly Coupled Router

More information

Fault-Tolerant Multiple Task Migration in Mesh NoC s over virtual Point-to-Point connections

Fault-Tolerant Multiple Task Migration in Mesh NoC s over virtual Point-to-Point connections Fault-Tolerant Multiple Task Migration in Mesh NoC s over virtual Point-to-Point connections A.SAI KUMAR MLR Group of Institutions Dundigal,INDIA B.S.PRIYANKA KUMARI CMR IT Medchal,INDIA Abstract Multiple

More information

PERFORMANCE EVALUATION OF WIRELESS NETWORKS ON CHIP JYUN-LYANG CHANG

PERFORMANCE EVALUATION OF WIRELESS NETWORKS ON CHIP JYUN-LYANG CHANG PERFORMANCE EVALUATION OF WIRELESS NETWORKS ON CHIP By JYUN-LYANG CHANG A thesis submitted in partial fulfillment of the requirements for the degree of MASTER OF SCIENCE IN ELECTRICAL ENGINEERING WASHINGTON

More information

SAMBA-BUS: A HIGH PERFORMANCE BUS ARCHITECTURE FOR SYSTEM-ON-CHIPS Λ. Ruibing Lu and Cheng-Kok Koh

SAMBA-BUS: A HIGH PERFORMANCE BUS ARCHITECTURE FOR SYSTEM-ON-CHIPS Λ. Ruibing Lu and Cheng-Kok Koh BUS: A HIGH PERFORMANCE BUS ARCHITECTURE FOR SYSTEM-ON-CHIPS Λ Ruibing Lu and Cheng-Kok Koh School of Electrical and Computer Engineering Purdue University, West Lafayette, IN 797- flur,chengkokg@ecn.purdue.edu

More information

Network-on-Chip Architecture

Network-on-Chip Architecture Multiple Processor Systems(CMPE-655) Network-on-Chip Architecture Performance aspect and Firefly network architecture By Siva Shankar Chandrasekaran and SreeGowri Shankar Agenda (Enhancing performance)

More information

A Layer-Multiplexed 3D On-Chip Network Architecture Rohit Sunkam Ramanujam and Bill Lin

A Layer-Multiplexed 3D On-Chip Network Architecture Rohit Sunkam Ramanujam and Bill Lin 50 IEEE EMBEDDED SYSTEMS LETTERS, VOL. 1, NO. 2, AUGUST 2009 A Layer-Multiplexed 3D On-Chip Network Architecture Rohit Sunkam Ramanujam and Bill Lin Abstract Programmable many-core processors are poised

More information

Quest for High-Performance Bufferless NoCs with Single-Cycle Express Paths and Self-Learning Throttling

Quest for High-Performance Bufferless NoCs with Single-Cycle Express Paths and Self-Learning Throttling Quest for High-Performance Bufferless NoCs with Single-Cycle Express Paths and Self-Learning Throttling Bhavya K. Daya, Li-Shiuan Peh, Anantha P. Chandrakasan Dept. of Electrical Engineering and Computer

More information

Design and Evaluation of Technology-Agnostic Heterogeneous Networks-on-Chip

Design and Evaluation of Technology-Agnostic Heterogeneous Networks-on-Chip 0 Design and Evaluation of Technology-Agnostic Heterogeneous Networks-on-Chip Haera Chung, Portland State University Christof Teuscher, Portland State University Partha Pande, Washington State University

More information

Evaluation of temperature-performance trade-offs in wireless network-on-chip architectures

Evaluation of temperature-performance trade-offs in wireless network-on-chip architectures Rochester Institute of Technology RIT Scholar Works Theses Thesis/Dissertation Collections 5-1-2013 Evaluation of temperature-performance trade-offs in wireless network-on-chip architectures Nishad Nerurkar

More information

NEtwork-on-Chip (NoC) [3], [6] is a scalable interconnect

NEtwork-on-Chip (NoC) [3], [6] is a scalable interconnect 1 A Soft Tolerant Network-on-Chip Router Pipeline for Multi-core Systems Pavan Poluri and Ahmed Louri Department of Electrical and Computer Engineering, University of Arizona Email: pavanp@email.arizona.edu,

More information

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 12: On-Chip Interconnects

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 12: On-Chip Interconnects 1 EECS 598: Integrating Emerging Technologies with Computer Architecture Lecture 12: On-Chip Interconnects Instructor: Ron Dreslinski Winter 216 1 1 Announcements Upcoming lecture schedule Today: On-chip

More information

High Performance Interconnect and NoC Router Design

High Performance Interconnect and NoC Router Design High Performance Interconnect and NoC Router Design Brinda M M.E Student, Dept. of ECE (VLSI Design) K.Ramakrishnan College of Technology Samayapuram, Trichy 621 112 brinda18th@gmail.com Devipoonguzhali

More information

A General Sign Bit Error Correction Scheme for Approximate Adders

A General Sign Bit Error Correction Scheme for Approximate Adders A General Sign Bit Error Correction Scheme for Approximate Adders Rui Zhou and Weikang Qian University of Michigan-Shanghai Jiao Tong University Joint Institute Shanghai Jiao Tong University, Shanghai,

More information

MinRoot and CMesh: Interconnection Architectures for Network-on-Chip Systems

MinRoot and CMesh: Interconnection Architectures for Network-on-Chip Systems MinRoot and CMesh: Interconnection Architectures for Network-on-Chip Systems Mohammad Ali Jabraeil Jamali, Ahmad Khademzadeh Abstract The success of an electronic system in a System-on- Chip is highly

More information

Deadlock-free XY-YX router for on-chip interconnection network

Deadlock-free XY-YX router for on-chip interconnection network LETTER IEICE Electronics Express, Vol.10, No.20, 1 5 Deadlock-free XY-YX router for on-chip interconnection network Yeong Seob Jeong and Seung Eun Lee a) Dept of Electronic Engineering Seoul National Univ

More information

Efficient And Advance Routing Logic For Network On Chip

Efficient And Advance Routing Logic For Network On Chip RESEARCH ARTICLE OPEN ACCESS Efficient And Advance Logic For Network On Chip Mr. N. Subhananthan PG Student, Electronics And Communication Engg. Madha Engineering College Kundrathur, Chennai 600 069 Email

More information

ISSN Vol.04,Issue.01, January-2016, Pages:

ISSN Vol.04,Issue.01, January-2016, Pages: WWW.IJITECH.ORG ISSN 2321-8665 Vol.04,Issue.01, January-2016, Pages:0077-0082 Implementation of Data Encoding and Decoding Techniques for Energy Consumption Reduction in NoC GORANTLA CHAITHANYA 1, VENKATA

More information

Phastlane: A Rapid Transit Optical Routing Network

Phastlane: A Rapid Transit Optical Routing Network Phastlane: A Rapid Transit Optical Routing Network Mark Cianchetti, Joseph Kerekes, and David Albonesi Computer Systems Laboratory Cornell University The Interconnect Bottleneck Future processors: tens

More information

Design of a System-on-Chip Switched Network and its Design Support Λ

Design of a System-on-Chip Switched Network and its Design Support Λ Design of a System-on-Chip Switched Network and its Design Support Λ Daniel Wiklund y, Dake Liu Dept. of Electrical Engineering Linköping University S-581 83 Linköping, Sweden Abstract As the degree of

More information

AFRA: A Low Cost High Performance Reliable Routing for 3D Mesh NoCs

AFRA: A Low Cost High Performance Reliable Routing for 3D Mesh NoCs AFRA: A Low Cost High Performance Reliable Routing for 3D Mesh NoCs Sara Akbari, Ali Shafiee, Mahmoud Fathy, and Reza Berangi School of Computer Engineering University of Science and Technology, Iran School

More information

Dynamic Packet Fragmentation for Increased Virtual Channel Utilization in On-Chip Routers

Dynamic Packet Fragmentation for Increased Virtual Channel Utilization in On-Chip Routers Dynamic Packet Fragmentation for Increased Virtual Channel Utilization in On-Chip Routers Young Hoon Kang, Taek-Jun Kwon, and Jeff Draper {youngkan, tjkwon, draper}@isi.edu University of Southern California

More information

A High Performance Bus Communication Architecture through Bus Splitting

A High Performance Bus Communication Architecture through Bus Splitting A High Performance Communication Architecture through Splitting Ruibing Lu and Cheng-Kok Koh School of Electrical and Computer Engineering Purdue University,West Lafayette, IN, 797, USA {lur, chengkok}@ecn.purdue.edu

More information

A low level analysis of Cellular Automata and Random Boolean Networks as a computational architecture

A low level analysis of Cellular Automata and Random Boolean Networks as a computational architecture Portland State University PDXScholar Dissertations and Theses Dissertations and Theses 1-1-2011 A low level analysis of Cellular Automata and Random Boolean Networks as a computational architecture Prateen

More information

Overlaid Mesh Topology Design and Deadlock Free Routing in Wireless Network-on-Chip. Danella Zhao and Ruizhe Wu Presented by Zhonghai Lu, KTH

Overlaid Mesh Topology Design and Deadlock Free Routing in Wireless Network-on-Chip. Danella Zhao and Ruizhe Wu Presented by Zhonghai Lu, KTH Overlaid Mesh Topology Design and Deadlock Free Routing in Wireless Network-on-Chip Danella Zhao and Ruizhe Wu Presented by Zhonghai Lu, KTH Outline Introduction Overview of WiNoC system architecture Overlaid

More information

A Dynamic NOC Arbitration Technique using Combination of VCT and XY Routing

A Dynamic NOC Arbitration Technique using Combination of VCT and XY Routing 727 A Dynamic NOC Arbitration Technique using Combination of VCT and XY Routing 1 Bharati B. Sayankar, 2 Pankaj Agrawal 1 Electronics Department, Rashtrasant Tukdoji Maharaj Nagpur University, G.H. Raisoni

More information

Achieving Lightweight Multicast in Asynchronous Networks-on-Chip Using Local Speculation

Achieving Lightweight Multicast in Asynchronous Networks-on-Chip Using Local Speculation Achieving Lightweight Multicast in Asynchronous Networks-on-Chip Using Local Speculation Kshitij Bhardwaj Dept. of Computer Science Columbia University Steven M. Nowick 2016 ACM/IEEE Design Automation

More information

Interconnection Networks: Topology. Prof. Natalie Enright Jerger

Interconnection Networks: Topology. Prof. Natalie Enright Jerger Interconnection Networks: Topology Prof. Natalie Enright Jerger Topology Overview Definition: determines arrangement of channels and nodes in network Analogous to road map Often first step in network design

More information

PERFORMANCE EVALUATION OF FAULT TOLERANT METHODOLOGIES FOR NETWORK ON CHIP ARCHITECTURE

PERFORMANCE EVALUATION OF FAULT TOLERANT METHODOLOGIES FOR NETWORK ON CHIP ARCHITECTURE PERFORMANCE EVALUATION OF FAULT TOLERANT METHODOLOGIES FOR NETWORK ON CHIP ARCHITECTURE By HAIBO ZHU A thesis submitted in partial fulfillment of the requirements for the degree of MASTER OF SCIENCE IN

More information

Traffic- and Thermal-Aware Run-Time Thermal Management Scheme for 3D NoC Systems

Traffic- and Thermal-Aware Run-Time Thermal Management Scheme for 3D NoC Systems 2010 Fourth ACM/IEEE International Symposium on Networks-on-Chip Traffic- and Thermal-Aware Run-Time Thermal Management Scheme for 3D NoC Systems Chih-Hao Chao, Kai-Yuan Jheng, Hao-Yu Wang, Jia-Cheng Wu,

More information

Meet in the Middle: Leveraging Optical Interconnection Opportunities in Chip Multi Processors

Meet in the Middle: Leveraging Optical Interconnection Opportunities in Chip Multi Processors Meet in the Middle: Leveraging Optical Interconnection Opportunities in Chip Multi Processors Sandro Bartolini* Department of Information Engineering, University of Siena, Italy bartolini@dii.unisi.it

More information

On GPU Bus Power Reduction with 3D IC Technologies

On GPU Bus Power Reduction with 3D IC Technologies On GPU Bus Power Reduction with 3D Technologies Young-Joon Lee and Sung Kyu Lim School of ECE, Georgia Institute of Technology, Atlanta, Georgia, USA yjlee@gatech.edu, limsk@ece.gatech.edu Abstract The

More information

A Hybrid Interconnection Network for Integrated Communication Services

A Hybrid Interconnection Network for Integrated Communication Services A Hybrid Interconnection Network for Integrated Communication Services Yi-long Chen Northern Telecom, Inc. Richardson, TX 7583 kchen@nortel.com Jyh-Charn Liu Department of Computer Science, Texas A&M Univ.

More information

Recall: The Routing problem: Local decisions. Recall: Multidimensional Meshes and Tori. Properties of Routing Algorithms

Recall: The Routing problem: Local decisions. Recall: Multidimensional Meshes and Tori. Properties of Routing Algorithms CS252 Graduate Computer Architecture Lecture 16 Multiprocessor Networks (con t) March 14 th, 212 John Kubiatowicz Electrical Engineering and Computer Sciences University of California, Berkeley http://www.eecs.berkeley.edu/~kubitron/cs252

More information

Dynamic Network Reconfiguration for Switch-based Networks

Dynamic Network Reconfiguration for Switch-based Networks Dynamic Network Reconfiguration for Switch-based Networks Ms. Deepti Metri 1, Prof. A. V. Mophare 2 1Student, Computer Science and Engineering, N. B. N. Sinhgad College of Engineering, Maharashtra, India

More information

Dynamic Stress Wormhole Routing for Spidergon NoC with effective fault tolerance and load distribution

Dynamic Stress Wormhole Routing for Spidergon NoC with effective fault tolerance and load distribution Dynamic Stress Wormhole Routing for Spidergon NoC with effective fault tolerance and load distribution Nishant Satya Lakshmikanth sailtosatya@gmail.com Krishna Kumaar N.I. nikrishnaa@gmail.com Sudha S

More information

STG-NoC: A Tool for Generating Energy Optimized Custom Built NoC Topology

STG-NoC: A Tool for Generating Energy Optimized Custom Built NoC Topology STG-NoC: A Tool for Generating Energy Optimized Custom Built NoC Topology Surbhi Jain Naveen Choudhary Dharm Singh ABSTRACT Network on Chip (NoC) has emerged as a viable solution to the complex communication

More information

FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC)

FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC) FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC) D.Udhayasheela, pg student [Communication system],dept.ofece,,as-salam engineering and technology, N.MageshwariAssistant Professor

More information

Performance of Multihop Communications Using Logical Topologies on Optical Torus Networks

Performance of Multihop Communications Using Logical Topologies on Optical Torus Networks Performance of Multihop Communications Using Logical Topologies on Optical Torus Networks X. Yuan, R. Melhem and R. Gupta Department of Computer Science University of Pittsburgh Pittsburgh, PA 156 fxyuan,

More information

3D WiNoC Architectures

3D WiNoC Architectures Interconnect Enhances Architecture: Evolution of Wireless NoC from Planar to 3D 3D WiNoC Architectures Hiroki Matsutani Keio University, Japan Sep 18th, 2014 Hiroki Matsutani, "3D WiNoC Architectures",

More information

Analyzing the Performance of NoC Using Hierarchical Routing Methodology

Analyzing the Performance of NoC Using Hierarchical Routing Methodology Analyzing the Performance of NoC Using Hierarchical Routing Methodology 1 Bibin Lawrence R, 2 Jency Rubia J 1,2 M.E-VLSI Design 1 rbllbr@gmail.com, 2 jencyrubia@gmail.com Vel Tech Multi Tech Dr.Rangarajan

More information

Design and Implementation of Multistage Interconnection Networks for SoC Networks

Design and Implementation of Multistage Interconnection Networks for SoC Networks International Journal of Computer Science, Engineering and Information Technology (IJCSEIT), Vol.2, No.5, October 212 Design and Implementation of Multistage Interconnection Networks for SoC Networks Mahsa

More information

High Throughput and Low Power NoC

High Throughput and Low Power NoC IJCSI International Journal of Computer Science Issues, Vol. 8, Issue 5, o 3, September 011 www.ijcsi.org 431 High Throughput and Low Power oc Magdy El-Moursy 1, Member IEEE and Mohamed Abdelgany 1 Mentor

More information

Power and Performance Efficient Partial Circuits in Packet-Switched Networks-on-Chip

Power and Performance Efficient Partial Circuits in Packet-Switched Networks-on-Chip 2013 21st Euromicro International Conference on Parallel, Distributed, and Network-Based Processing Power and Performance Efficient Partial Circuits in Packet-Switched Networks-on-Chip Nasibeh Teimouri

More information

Wireless NoC and Dynamic VFI Co-Design: Energy Efficiency without Performance Penalty

Wireless NoC and Dynamic VFI Co-Design: Energy Efficiency without Performance Penalty > REPLACE THIS LINE WITH YOUR PAPER IDENTIFICATION NUMBER (DOUBLE-CLICK HERE TO EDIT) < Wireless NoC and Dynamic VFI Co-Design: Energy Efficiency without Performance Penalty Ryan Gary Kim, Student Member,

More information

Wireless NoC as Interconnection Backbone for Multicore Chips: Promises and Challenges

Wireless NoC as Interconnection Backbone for Multicore Chips: Promises and Challenges 228 IEEE JOURNAL ON EMERGING AND SELECTED TOPICS IN CIRCUITS AND SYSTEMS, VOL. 2, NO. 2, JUNE 2012 Wireless NoC as Interconnection Backbone for Multicore Chips: Promises and Challenges Sujay Deb, Student

More information

A Literature Review of on-chip Network Design using an Agent-based Management Method

A Literature Review of on-chip Network Design using an Agent-based Management Method A Literature Review of on-chip Network Design using an Agent-based Management Method Mr. Kendaganna Swamy S Dr. Anand Jatti Dr. Uma B V Instrumentation Instrumentation Communication Bangalore, India Bangalore,

More information

udirec: Unified Diagnosis and Reconfiguration for Frugal Bypass of NoC Faults

udirec: Unified Diagnosis and Reconfiguration for Frugal Bypass of NoC Faults 1/45 1/22 MICRO-46, 9 th December- 213 Davis, California udirec: Unified Diagnosis and Reconfiguration for Frugal Bypass of NoC Faults Ritesh Parikh and Valeria Bertacco Electrical Engineering & Computer

More information

DLABS: a Dual-Lane Buffer-Sharing Router Architecture for Networks on Chip

DLABS: a Dual-Lane Buffer-Sharing Router Architecture for Networks on Chip DLABS: a Dual-Lane Buffer-Sharing Router Architecture for Networks on Chip Anh T. Tran and Bevan M. Baas Department of Electrical and Computer Engineering University of California - Davis, USA {anhtr,

More information

An Energy Efficient Topology Augmentation Methodology Using Hash Based Smart Shortcut Links in 2-D Mesh

An Energy Efficient Topology Augmentation Methodology Using Hash Based Smart Shortcut Links in 2-D Mesh International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 12, Issue 10 (October 2016), PP.12-23 An Energy Efficient Topology Augmentation

More information

International Journal of Research and Innovation in Applied Science (IJRIAS) Volume I, Issue IX, December 2016 ISSN

International Journal of Research and Innovation in Applied Science (IJRIAS) Volume I, Issue IX, December 2016 ISSN Comparative Analysis of Latency, Throughput and Network Power for West First, North Last and West First North Last Routing For 2D 4 X 4 Mesh Topology NoC Architecture Bhupendra Kumar Soni 1, Dr. Girish

More information

On Fault Tolerant Ad Hoc Network Design

On Fault Tolerant Ad Hoc Network Design On Fault Tolerant Ad Hoc Network Design Wassim El-Hajj College of Information Technology UAE University United Arab Emirates welhajj@uaeu.ac.ae Hazem Hajj Faculty of Engineering and Architecture American

More information

Memory Placement in Network Compression: Line and Grid Topologies

Memory Placement in Network Compression: Line and Grid Topologies ISITA212, Honolulu, Hawaii, USA, October 28-31, 212 Memory Placement in Network Compression: Line and Grid Topologies Mohsen Sardari, Ahmad Beirami, Faramarz Fekri School of Electrical and Computer Engineering,

More information

Efficient Multicast Communication using 3d Router

Efficient Multicast Communication using 3d Router International Journal of Emerging Engineering Research and Technology Volume 3, Issue 12, December 2015, PP 38-49 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Efficient Multicast Communication using

More information

Fault-Tolerant Routing in Fault Blocks. Planarly Constructed. Dong Xiang, Jia-Guang Sun, Jie. and Krishnaiyan Thulasiraman. Abstract.

Fault-Tolerant Routing in Fault Blocks. Planarly Constructed. Dong Xiang, Jia-Guang Sun, Jie. and Krishnaiyan Thulasiraman. Abstract. Fault-Tolerant Routing in Fault Blocks Planarly Constructed Dong Xiang, Jia-Guang Sun, Jie and Krishnaiyan Thulasiraman Abstract A few faulty nodes can an n-dimensional mesh or torus network unsafe for

More information

Hybrid On-chip Data Networks. Gilbert Hendry Keren Bergman. Lightwave Research Lab. Columbia University

Hybrid On-chip Data Networks. Gilbert Hendry Keren Bergman. Lightwave Research Lab. Columbia University Hybrid On-chip Data Networks Gilbert Hendry Keren Bergman Lightwave Research Lab Columbia University Chip-Scale Interconnection Networks Chip multi-processors create need for high performance interconnects

More information

Bandwidth Aware Routing Algorithms for Networks-on-Chip

Bandwidth Aware Routing Algorithms for Networks-on-Chip 1 Bandwidth Aware Routing Algorithms for Networks-on-Chip G. Longo a, S. Signorino a, M. Palesi a,, R. Holsmark b, S. Kumar b, and V. Catania a a Department of Computer Science and Telecommunications Engineering

More information

SERVICE ORIENTED REAL-TIME BUFFER MANAGEMENT FOR QOS ON ADAPTIVE ROUTERS

SERVICE ORIENTED REAL-TIME BUFFER MANAGEMENT FOR QOS ON ADAPTIVE ROUTERS SERVICE ORIENTED REAL-TIME BUFFER MANAGEMENT FOR QOS ON ADAPTIVE ROUTERS 1 SARAVANAN.K, 2 R.M.SURESH 1 Asst.Professor,Department of Information Technology, Velammal Engineering College, Chennai, Tamilnadu,

More information

Global Adaptive Routing Algorithm Without Additional Congestion Propagation Network

Global Adaptive Routing Algorithm Without Additional Congestion Propagation Network 1 Global Adaptive Routing Algorithm Without Additional Congestion ropagation Network Shaoli Liu, Yunji Chen, Tianshi Chen, Ling Li, Chao Lu Institute of Computing Technology, Chinese Academy of Sciences

More information

Lecture 2: Topology - I

Lecture 2: Topology - I ECE 8823 A / CS 8803 - ICN Interconnection Networks Spring 2017 http://tusharkrishna.ece.gatech.edu/teaching/icn_s17/ Lecture 2: Topology - I Tushar Krishna Assistant Professor School of Electrical and

More information

Graphene-enabled hybrid architectures for multiprocessors: bridging nanophotonics and nanoscale wireless communication

Graphene-enabled hybrid architectures for multiprocessors: bridging nanophotonics and nanoscale wireless communication Graphene-enabled hybrid architectures for multiprocessors: bridging nanophotonics and nanoscale wireless communication Sergi Abadal*, Albert Cabellos-Aparicio*, José A. Lázaro, Eduard Alarcón*, Josep Solé-Pareta*

More information

A Thermal-aware Application specific Routing Algorithm for Network-on-chip Design

A Thermal-aware Application specific Routing Algorithm for Network-on-chip Design A Thermal-aware Application specific Routing Algorithm for Network-on-chip Design Zhi-Liang Qian and Chi-Ying Tsui VLSI Research Laboratory Department of Electronic and Computer Engineering The Hong Kong

More information

Chapter 7 CONCLUSION

Chapter 7 CONCLUSION 97 Chapter 7 CONCLUSION 7.1. Introduction A Mobile Ad-hoc Network (MANET) could be considered as network of mobile nodes which communicate with each other without any fixed infrastructure. The nodes in

More information

THE Network-on-chip (NoC) has emerged as a revolutionary

THE Network-on-chip (NoC) has emerged as a revolutionary 2382 IEEE TRANSACTIONS ON COMPUTERS, VOL. 62, NO. 12, DECEMBER 2013 Design of an Energy-Efficient CMOS-Compatible NoC Architecture with Millimeter-Wave Wireless Interconnects Sujay Deb, Member, IEEE, Kevin

More information

A Multicast Routing Algorithm for 3D Network-on-Chip in Chip Multi-Processors

A Multicast Routing Algorithm for 3D Network-on-Chip in Chip Multi-Processors Proceedings of the World Congress on Engineering 2018 ol I A Routing Algorithm for 3 Network-on-Chip in Chip Multi-Processors Rui Ben, Fen Ge, intian Tong, Ning Wu, ing hang, and Fang hou Abstract communication

More information

Swizzle Switch: A Self-Arbitrating High-Radix Crossbar for NoC Systems

Swizzle Switch: A Self-Arbitrating High-Radix Crossbar for NoC Systems 1 Swizzle Switch: A Self-Arbitrating High-Radix Crossbar for NoC Systems Ronald Dreslinski, Korey Sewell, Thomas Manville, Sudhir Satpathy, Nathaniel Pinckney, Geoff Blake, Michael Cieslak, Reetuparna

More information

EE482, Spring 1999 Research Paper Report. Deadlock Recovery Schemes

EE482, Spring 1999 Research Paper Report. Deadlock Recovery Schemes EE482, Spring 1999 Research Paper Report Deadlock Recovery Schemes Jinyung Namkoong Mohammed Haque Nuwan Jayasena Manman Ren May 18, 1999 Introduction The selected papers address the problems of deadlock,

More information

DESIGN OF EFFICIENT ROUTING ALGORITHM FOR CONGESTION CONTROL IN NOC

DESIGN OF EFFICIENT ROUTING ALGORITHM FOR CONGESTION CONTROL IN NOC DESIGN OF EFFICIENT ROUTING ALGORITHM FOR CONGESTION CONTROL IN NOC 1 Pawar Ruchira Pradeep M. E, E&TC Signal Processing, Dr. D Y Patil School of engineering, Ambi, Pune Email: 1 ruchira4391@gmail.com

More information

QUANTIZER DESIGN FOR EXPLOITING COMMON INFORMATION IN LAYERED CODING. Mehdi Salehifar, Tejaswi Nanjundaswamy, and Kenneth Rose

QUANTIZER DESIGN FOR EXPLOITING COMMON INFORMATION IN LAYERED CODING. Mehdi Salehifar, Tejaswi Nanjundaswamy, and Kenneth Rose QUANTIZER DESIGN FOR EXPLOITING COMMON INFORMATION IN LAYERED CODING Mehdi Salehifar, Tejaswi Nanjundaswamy, and Kenneth Rose Department of Electrical and Computer Engineering University of California,

More information

PDA-HyPAR: Path-Diversity-Aware Hybrid Planar Adaptive Routing Algorithm for 3D NoCs

PDA-HyPAR: Path-Diversity-Aware Hybrid Planar Adaptive Routing Algorithm for 3D NoCs PDA-HyPAR: Path-Diversity-Aware Hybrid Planar Adaptive Routing Algorithm for 3D NoCs Jindun Dai *1,2, Renjie Li 2, Xin Jiang 3, Takahiro Watanabe 2 1 Department of Electrical Engineering, Shanghai Jiao

More information

An MILP-Based Aging-Aware Routing Algorithm for NoCs

An MILP-Based Aging-Aware Routing Algorithm for NoCs An MILP-Based Aging-Aware Routing Algorithm for NoCs Kshitij Bhardwaj Koushik Chakraborty Sanghamitra Roy BRIDGE Lab Electrical and Computer Engineering Utah State University 1 Outline NoC basics Motivation

More information

Tree-structured small-world connected wireless network-on-chip with adaptive routing

Tree-structured small-world connected wireless network-on-chip with adaptive routing Rochester Institute of Technology RIT Scholar Works Theses Thesis/Dissertation Collections -- Tree-structured small-world connected wireless network-on-chip with adaptive routing Andrew Benjamin Follow

More information

Design of an Efficient NoC Architecture using Millimeter-Wave Wireless Links

Design of an Efficient NoC Architecture using Millimeter-Wave Wireless Links Design of an Efficient NoC Architecture using Millimeter-Wave Wireless Links Sujay Deb 1, Kevin Chang 1, Amlan Ganguly 2, Xinmin Yu 1, Christof Teuscher 3, Partha Pande 1, Deukhyoun Heo 1, Benjamin Belzer

More information

Routing Algorithms, Process Model for Quality of Services (QoS) and Architectures for Two-Dimensional 4 4 Mesh Topology Network-on-Chip

Routing Algorithms, Process Model for Quality of Services (QoS) and Architectures for Two-Dimensional 4 4 Mesh Topology Network-on-Chip Routing Algorithms, Process Model for Quality of Services (QoS) and Architectures for Two-Dimensional 4 4 Mesh Topology Network-on-Chip Nauman Jalil, Adnan Qureshi, Furqan Khan, and Sohaib Ayyaz Qazi Abstract

More information

Network-on-Chip Micro-Benchmarks

Network-on-Chip Micro-Benchmarks Network-on-Chip Micro-Benchmarks Zhonghai Lu *, Axel Jantsch *, Erno Salminen and Cristian Grecu * Royal Institute of Technology, Sweden Tampere University of Technology, Finland Abstract University of

More information

Minimizing Clock Domain Crossing in Network on Chip Interconnect

Minimizing Clock Domain Crossing in Network on Chip Interconnect Minimizing Clock Domain Crossing in Network on Chip Interconnect Parag Kulkarni 1, Puneet Gupta 2, Rudy Beraha 3 1 Synopsys 2 UCLA 3 Qualcomm Corp. R&D Outline Motivation The Router Coloring Problem Approaches

More information

Butterfly vs. Unidirectional Fat-Trees for Networks-on-Chip: not a Mere Permutation of Outputs

Butterfly vs. Unidirectional Fat-Trees for Networks-on-Chip: not a Mere Permutation of Outputs Butterfly vs. Unidirectional Fat-Trees for Networks-on-Chip: not a Mere Permutation of Outputs D. Ludovici, F. Gilabert, C. Gómez, M.E. Gómez, P. López, G.N. Gaydadjiev, and J. Duato Dept. of Computer

More information

DESIGN AND IMPLEMENTATION ARCHITECTURE FOR RELIABLE ROUTER RKT SWITCH IN NOC

DESIGN AND IMPLEMENTATION ARCHITECTURE FOR RELIABLE ROUTER RKT SWITCH IN NOC International Journal of Engineering and Manufacturing Science. ISSN 2249-3115 Volume 8, Number 1 (2018) pp. 65-76 Research India Publications http://www.ripublication.com DESIGN AND IMPLEMENTATION ARCHITECTURE

More information

Towards Performance Modeling of 3D Memory Integrated FPGA Architectures

Towards Performance Modeling of 3D Memory Integrated FPGA Architectures Towards Performance Modeling of 3D Memory Integrated FPGA Architectures Shreyas G. Singapura, Anand Panangadan and Viktor K. Prasanna University of Southern California, Los Angeles CA 90089, USA, {singapur,

More information

On the Physicl Layout of PRDT-Based NoCs

On the Physicl Layout of PRDT-Based NoCs On the Physicl Layout of PRDT-Based NoCs Guoqiang Yang, Mei Yang, Yulu Yang, Yingtao Jiang Department of Computer Science, Nankai University, Tianin, 000, China Department of Electrical and Computer Engineering,

More information