The (Low) Power of Less Wiring: Enabling Energy Efficiency in Many-Core Platforms Through Wireless NoC (Invited Paper)

Size: px
Start display at page:

Download "The (Low) Power of Less Wiring: Enabling Energy Efficiency in Many-Core Platforms Through Wireless NoC (Invited Paper)"

Transcription

1 The (Low) Power of Less Wiring: Enabling Energy Efficiency in Many-Core Platforms Through Wireless NoC (Invited Paper) Partha Pratim Pande, Ryan Gary Kim, Wonje Choi School of EECS, Washington State University, Pullman, WA, USA {pande, rkim, Abstract During the last decade, we have witnessed a major transition from computation- to communication-centric design of integrated circuits and systems. In particular, the network-on-chip (NoC) approach has emerged as the major design paradigm for multicore systems-on-chip (SoC). The major challenges in traditional wire-based NoCs are the high latency and power consumption of the multi-hop links. By inserting single-hop long-range wireless links in place of multi-hop wired links, the overall system performance can be significantly improved. We should adopt novel architectures inspired by the on-chip wireless links to design highperformance multi-core chips. In this regard, the small-world network-inspired wireless NoC (WiNoC) has emerged as an enabling interconnection infrastructure to design highbandwidth and energy-efficient multicore chips. In this paper we present the various challenges and possible solutions for designing energy-efficient massive multicore chips enabled by the WiNoC paradigm. Keywords NoC, VFI, Dynamic V/F, Wireless, Multicore, Low-power. I. INTRODUCTION The design of high-performance massive multicore chips is dominated by power and thermal constraints. Indeed, increased power consumption not only raises the chip temperature and cooling cost, but also decreases chip reliability and performance. Consequently, it is imperative to come up with new interconnection architecture and power management strategies. The small-world network-enabled wireless NoC (WiNoC) has emerged as an enabling interconnection infrastructure to design high-bandwidth and energy-efficient multicore chips [1]. In this WiNoC architecture, long-range communication predominately takes place through the wireless shortcuts, whereas the short-range data exchange occurs through conventional metal wires. This results in performance advantages (lower latency and energy dissipation) mainly stemming from using the wireless links as long-range shortcuts between far apart cores. Incorporating suitable power management strategies will further enhance the improvement in energy efficiency of WiNoC-enabled multicore chips. Multiple Voltage Frequency Island (VFI) partitioning presents one such power management strategy for multicore chips [2]-[6]. Indeed, by tailoring the voltages and frequencies of each VFI domain, we can achieve significant Zhuo Chen, Diana Marculescu, Radu Marculescu Department of ECE, Carnegie Mellon University Pittsburgh, PA, USA {tonychen, dianam, radum}@cmu.edu energy savings subject to specific performance constraints. Most of the existing VFI-partitioned designs use the conventional multi-hop mesh-based NoC architecture. However, for large-scale systems, the inter-vfi data exchanges through traditional mesh NoCs introduce unnecessary latency and energy overheads. The multi-vfi platform represents a natural fit for the WiNoC architecture where the synchronous buffers in some of the NoC routers can simply be replaced with mixed-clock/mixed-voltage FIFOs as needed. In this paper, we discuss how by integrating the WiNoC and VFI paradigms in a synergistic manner, we can design energy-efficient multicore platforms without introducing noticeable performance penalty. II. VFI DESIGN METHODOLOGY Generally speaking, VFI in multicore systems is desirable from two perspectives: 1) Reducing the overall communication cost; and 2) Improving the energy efficiency by producing better opportunities for voltage and frequency (V/F) scaling. From the perspective of the overall network cost, the communication between two cores within the same VFI is significantly cheaper than communication between two cores residing in two different VFIs. In the first case, the exchange of data requires fewer hops and no mixed clock and voltage interfacing. Thus, it is desirable that the subsets of cores that heavily communicate with each other get clustered into the same VFI. From the perspective of energy efficiency, it is also desirable to cluster together those cores that have similar utilizations. This way, cores running similarly behaved workloads can share the same V/F pair; this can help reduce the number of such distinct pairs without violating the performance constraints. A. VFI Clustering There are three primary ways of implementing VFIbased clustering, namely: 1) clustering based on communication, 2) clustering based on core utilization and 3) hybrid clustering that takes both utilization and communication into account. The utilization-based VFI clustering minimizes the intra-vfi core utilization variation. Communication-based clustering encapsulates the inter-core communication within clustering with best effort. Hybrid clustering uses both communication and utilization to achieve the best of both worlds.

2 B. V/F Tuning After VFI partitioning, we can determine the static V/F level of each VFI such that it minimizes the power consumption under a certain performance constraint. Power and performance models, such as those proposed in [7], can be used to accomplish this. However, the application characteristics, core utilization and traffic information, tend to vary throughout the runtime of every application. Therefore, static V/F tuning, although simple, tends to be suboptimal. Hence, we should take advantage of the temporal variations in the application by dynamically tuning the V/F of each VFI. C. VFI Interfaces In this VFI-enabled system, each island can work with its own voltage and frequency. As such, communication across different VFIs is achieved through mixedclock/mixed-voltage (MCMV) first-input first-output (FIFO) interfaces. This provides the flexibility to scale the frequency and voltage of various VFIs in order to minimize the overall energy consumption [8], [9]. Fig. 1: WiNoC: Small-world network architecture with short- and long-range links. III. WINOC ARCHITECTURE SUPPORTING VFI The goal of on-chip communication network design is to transmit data with low latencies and high throughput using the least possible power and resources [10]. Modern complex network theory [11] provides a powerful method to analyze network topologies. Between a regular, locally interconnected mesh network and a completely random Erdös-Rényi topology, there are other classes of graphs, such as small-world and scale-free graphs. Small-world graphs have very short average path length, defined as the number of hops between any pair of nodes. The average shortest path length of small-world graphs is bounded by a polynomial in log(n), where N is the number of nodes, making them particularly interesting for efficient communication with minimal resources [12]. NoCs exhibiting small-world characteristics can perform significantly better than locally interconnected mesh-like networks [13], yet require far fewer resources than a fully connected system. These properties of small-world networks make them desirable for VFI-based systems. Moreover, WiNoCs are particularly attractive for creating these smallworld networks since the long-distance shortcuts typically found in these types of networks can be realized using highbandwidth, low-energy, wireless interconnects while the local links can be designed with traditional metal wires. A. Small-World Connectivity The topology of the WiNoC is a small-world network where the links between routers are established following a power law distribution. More precisely, the probability P(i,j) of establishing a link between two routers i and j, separated by a Euclidean distance l ij, is proportional to the distance l ij raised to a finite power as in: l Pi, ( α j ij f ij (1) )= i jl ij α f ij The frequency of traffic interactions between cores i and j, f ij, is also factored in, so that frequently communicating cores have a higher probability of having a direct link inserted between them. This frequency is the percentage of traffic generated by core i that is destined for core j. This approach implicitly optimizes the network architecture for a non-uniform traffic scenario. Getting into details, the parameter governs the nature of connectivity, e.g., a larger increases the probability of a locally connected network with a few, or even no longrange links. By the same token, a zero value of generates an ideal small-world network following the Watts-Strogatz model one with long-range shortcuts that are independent of the distance between the cores. It has been shown that a value of less than D + 1, D being the dimension of the network, ensures the small-world property; with 1.8, the average hop count is minimized with a fixed wiring cost [14]. As long metal wires are costly both in terms of power and latency, we propose to use wireless links to connect the routers that are far apart. In practice, depending upon the available wireless resources, we can only allow a limited number of long links in the WiNoC to be wireless, while the others would remain wireline. This way, we can make the distant cores socialize with each other, and hence reduce the communication costs when running real applications. To get some intuition, Fig. 1 represents such a WiNoCbased VFI system with 16 cores where each core is associated with a router (not shown for clarity). This architecture has many short-range (local) links, as well as a few long-range links schematically represented by the arching interconnects. As mentioned above, depending on available resources, a limited amount of these shortcuts will be implemented by using millimeter (mm)-wave wireless links operating in the GHz range [1]. We note that the long-range wireless links can play important roles in achieving various goals, e.g., optimizing for performance, exchanging control signals between multiple VFIs for efficient power management, etc. Moreover, unlike other interconnects such as RF-I or normal metal wires [15], the mm-wave wireless links can establish communication channels between any pair of nodes by virtue of their broadcasting capability.

3 The power law connectivity-based WiNoC is basically an irregular network topology. We assume an average number of connections,, from each NoC router to the other routers. We propose that the value of be chosen to be four so that the WiNoC does not introduce any additional router overhead with respect to a conventional mesh. Also, an upper bound, k max, need to be imposed on the number of ports attached to a particular router so that no router becomes unrealistically large in the WiNoC [16]. Due to the nature of the VFI clustering, additional constraints need to be applied to the connectivity of the WiNoC routers. The distribution of links should be divided into the VFI intracluster connections needed to ensure each cluster s connectivity and communication, and the VFI inter-cluster connections to enable communication between different clusters [17]. B. Wireless Link and Core Placement To help facilitate predominantly long-distance communication, we use mm-wave wireless links to communicate among distant cores. It is possible to create three non-overlapping channels with on-chip mm-wave wireless links. By extending the wireless frequency range to sub-thz, the number of non-overlapping channels can be extended even further. Using these wireless channels, we overlay the wireline small-world connectivity with the wireless links such that a few routers get an additional wireless port. Each of these wireless ports will have a wireless interface (WI) tuned to one of the three wireless channels. One WI is replaced by a gateway WI that has all three channels assigned to it; this facilitates data exchange between the non-overlapping wireless channels. The WI placement is most energy-efficient when the distance between them is at least 7.5 mm for the 65 nm technology node [1]. The optimum number of WIs is twelve for a 64- core system size [16]. The overall performance of the WiNoC also depends on the placement of the wireless links and physical locations of the cores. As examples, we describe two possible strategies. One such strategy is to minimize the trafficweighted hop count, while another is to maximize the wireless utilization. The first methodology physically arranges the cores in their specific VFI configurations in order to minimize the distance of highly communicating cores (minimize hop-count). Effectively, the objective is to minimize the average traffic weighted hop count. In the second methodology, we aim at increasing the amount of traffic going through the wireless links (maximize wireless usage). The wireless nodes are placed near the center of each VFI cluster. This allows most of the cores to have wireless access opportunities while maintaining the minimum distance requirement for energy efficient wireless transmissions compared to corresponding wireline links. Then the physical core placement uses this knowledge and the idea of logically near, physically far (by using the wireless links) to place highly communicating cores closer to the WIs. It is shown in [18] that, for a 64-core system divided in to four equal VFI clusters, the maximized wireless usage methodology improves the overall Energy- Delay-Product (EDP) of the system compared to the minimized hop-count strategy. C. Routing and Flow Control As the WiNoC has an overall irregular topology, it is essential to design and optimize suitable deadlock-free routing mechanisms for it. Routing in irregular networks is more complex, because routing methods are typically topology agnostic. Hence, it is necessary to investigate suitable routing mechanisms for small-world networks. Routing in irregular networks can be classified into two broad categories, viz., rule- and path-driven strategies [19]. Rule-driven routing is typically done by employing a spanning tree for the network. Messages are routed along this spanning tree with specific restrictions to achieve deadlock freedom. Because deadlock freedom is taken into account first for these routing strategies, minimal paths through the network for every source-destination pair cannot be guaranteed [19]. Conversely, for path-driven routing, minimal paths between all source-destination pairs are first guaranteed and then deadlock freedom is achieved by restricting portions of traffic from using specific resources such as the virtual channels [19]. The first routing strategy for WiNoC that we consider is an up/down tree-based routing algorithm, belonging to the rule-based classification. This routing strategy utilizes a multiple tree roots (MROOTS)-based mechanism [20]. MROOTS allows multiple routing trees to exist, where each tree routes on a dedicated virtual channel. Hence, traffic bottlenecks can be reduced in the upper tree levels that are inherent in this type of routing. An allowed route never uses an up direction along the tree after it has been in the down path once. In addition, a packet traveling in the downward direction is not allowed to take a shortcut, even if that minimizes the distance to the destination. Hence, channel dependency cycles are prohibited, and deadlock freedom is achieved [20]. The second routing strategy is an adaptive layered shortest-path routing (ALASH) algorithm [21], which belongs to the path-based classification. ALASH is built upon the layered shortest path (LASH) algorithm, but has more flexibility by allowing each message to adaptively router paths, letting the message choose its own route at every intermediate router. The LASH algorithm takes advantage of the multiple virtual channels in each router port of the NoC routers in order to route messages along the shortest physical paths. In order to achieve deadlock freedom, the network is divided into a set of virtual layers, which are created by dedicating the virtual channels from each router port into these layers. The shortest physical path between each source-destination pair is then assigned to a layer such that the layer s channel dependency graph remains free from cycles. We have demonstrated that ALASH provides similar or better performance compared to MROOTS, while offering an improved temperature profile for WiNoC [16]. In the WiNoC, data is transferred via a flit-based, wormhole routing. Between a source-destination pair, the

4 Fig. 2. Inter-paradigm benefits between WiNoC, VFI and V/F Tuning paradigms wireless links, through the WIs, are only chosen if the wireless path reduces the total path length compared to the wireline path. This can potentially give rise to hotspot situations in the WIs. Many messages will try to access the wireless shortcuts simultaneously, thus overloading the WIs, which would result in high latency and energy dissipation. Token flow control [22] is used to alleviate overloading at the WIs. Tokens are used to communicate the status of the input buffers of a particular WI to the wireline routers, giving messages a chance to reroute if the WI is congested. An arbitration mechanism is designed to grant access to the wireless medium to a particular WI, including the gateway WI, at a given instant to avoid interference and contention between the WIs that have the same frequency. To avoid the need for centralized control and synchronization, the arbitration policy adopted is a wireless token passing protocol. It should be noted that the use of the word token in this case differs from the usage in the above mentioned token flow control. The wireless token passing protocol here is a simple media access control (MAC) mechanism to access the wireless channels. According to this scheme, the particular WI possessing the token can broadcast flits into the wireless medium in its respective frequency. A single flit circulates as a token in each frequency channel. All other WIs of the same channel will receive the flits, but only the WI whose address matches the destination address will accept the flit for further processing. The wireless token is released and forwarded to the next WI operating in the same frequency channel after all flits belonging to a message at a particular WI are transmitted. Packets are rerouted, through an alternate wireline path, if the WI buffers are full or if it does not have the token. As rerouting packets can potentially lead to deadlock, a rerouting strategy similar to Dynamic Quick Reconfiguration (DQR), as presented in [23], is used to ensure deadlock freedom. In this situation, the current WI becomes the new source for the packet, which is then forced to take a wireline only path to the final destination, still following the original routing strategy restrictions. IV. OVERALL INTEGRATION So far we have described how the VFI, WiNoC and V/F tuning can be co-designed to create an optimized fullsystem. Fig. 2 summarizes the key ideas that are traded among these three paradigms. When implementing VFIs, we can cluster highly communicating cores to aid the WiNoC and cluster cores with similar application characteristic variations to aid V/F tuning. WiNoCs can be designed to take into account the shape and characteristics of the VFI in order to reduce the overall system performance degradation inherent in VFIs. Lastly, V/F tuning can take advantage of the application slack present in both VFIs and WiNoCs to optimize the energy with low performance penalties. V. CONCLUSION In this paper we have discussed that by incorporating WiNoCs, VFIs and VF tuning in a synergistic manner, it is possible to design energy efficient multicore chips without introducing significant performance overhead. Through the use of VFI-WiNoCs, it is possible to save significant fullsystem energy-delay product (EDP) over traditional non- VFI Mesh architectures. As such, we have highlighted the importance of an integrated design approach involving VFI, V/F tuning and wireless NoC to achieve energy efficiency for multicore chips. ACKONWLEDGEMENT This work was supported in part by the US National Science Foundation (NSF) grants CCF , CNS , CNS and CCF as well as Army Research Office grant W911NF REFERENCES [1] S. Deb et al., "Design of an Energy-Efficient CMOS-Compatible NoC Architecture with Millimeter-Wave Wireless Interconnects," Computers, IEEE Transactions on, vol.62, no.12, pp.2382,2396, Dec [2] U. Y. Ogras, R. Marculescu, D. Marculescu, Eun Gu Jung, "Design and Management of Voltage-Frequency Island Partitioned Networks-on-Chip," Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol.17, no.3, pp.330,341, March [3] S. Rusu, et al., A 45nm 8-core enterprise xeon processor, in Proc. of A-SSCC, 2009, pp [4] B. Stackhouse, et al., A 65 nm 2-billion transistor quad-core itanium processor, IEEE J. Solid-States Circuits, vol. 44, no. 1, 2009, pp [5] F. Friedrich, et al., Design of the power6 microprocessor, in Proc. of ISSCC, 2007, pp [6] H. Mair et al., A 65-nm mobile multimedia applications processor with an adaptive power management scheme to compensate for variations, in Proc. of VLSIC, 2007, pp [7] D.-C. Juan, et al. "Learning the optimal operating point for manycore systems with extended range voltage/frequency scaling." Hardware/Software Codesign and System Synthesis (CODES+ ISSS), 2013 International Conference on. IEEE, [8] T. Chelcea, and S. M. Nowick, A low latency FIFO for mixedclock systems, Proceedings of IEEE Computer Society Workshop on VLSI, April [9] D. M. Chapiro, Globally asynchronous locally synchronous systems, PhD thesis, Stanford University, 1984.

5 [10] R. Marculescu et al. Outstanding research problems in NoC design: system, microarchitecture, and circuit perspectives, IEEE Trans. on CAD, vol. 28, Jan. 2009, pp [11] D. J. Watts and S. H. Strogatz, Collective Dynamics of Small- World Networks. Nature. 393, [12] T. Petermann and P. De Los Rios. Physical realizability of smallworld networks. Physical Review E, 73:026114, [13] U. Y. Ogras and R. Marculescu, It s a small world after all: NoC performance optimization via long-range link insertion, IEEE Trans. Very Large Scale Integr. Syst., vol. 14, no. 7, 2006, pp [14] T. Petermann and P. De Los Rios, Spatial small-world networks: a wiring cost perspective, arxiv:cond-mat/ v2. [15] S. Deb, A. Ganguly, P. P. Pande, B. Belzer, and D. Heo, Wireless NoC as Interconnection Backbone for Multicore Chip: Promises and Challenges, IEEE Journal on Emerging and Selected Topics in Circuits and Systems, Vol. 2, No. 2, June 2012, pp [16] P. Wettin et al., "Design Space Exploration for Wireless NoCs Incorporating Irregular Network Routing," Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol.33, no.11, pp.1732,1745, Nov [17] R. Kim et al. "Energy-efficient VFI-partitioned multicore design using wireless NoC architectures," Compilers, Architecture and Synthesis for Embedded Systems (CASES), 2014 International Conference on, vol., no., pp.1,9. [18] R. G. Kim et al., "Wireless NoC for VFI-Enabled Multicore Chip Design: Performance Evaluation and Design Trade-offs," Computers, IEEE Transactions on, (in press) [19] J. Flich et al., A survey and evaluation of topology-agnostic deterministic routing algorithms, IEEE Trans. On Parallel and Distributed Systems, vol. 23, no. 3, 2012, pp [20] H. Chi and C. Tang, A deadlock-free routing scheme for interconnection networks with irregular topology, in Proc. of ICPADS, 1997, pp [21] O. Lysne, et al., Layered routing in irregular networks, IEEE Trans. On Parallel and Distributed Systems, vol. 17, no. 1, 2006, pp [22] A. Kumar, L.-S. Peh, and N.K. Jha, Token flow control, in Proc. of MICRO, 2008, pp [23] F.O. Sem-Jacobsen and O. Lysne, Topology agnostic dynamic quick reconfiguration for large-scale interconnection networks, in Proc. of CCGrid, 2012, pp

Performance Evaluation of Wireless NoCs in Presence of Irregular Network Routing Strategies

Performance Evaluation of Wireless NoCs in Presence of Irregular Network Routing Strategies Performance Evaluation of Wireless NoCs in Presence of Irregular Network Routing Strategies Paul Wettin, Jacob Murray, Ryan Kim, Xinmin Yu, Partha Pratim Pande, Deukhyoun Heo School of Electrical Engineering

More information

Energy Efficient MapReduce with VFI-enabled Multicore Platforms

Energy Efficient MapReduce with VFI-enabled Multicore Platforms Energy Efficient MapReduce with VFI-enabled Multicore Platforms Karthi Duraisamy *, Ryan Gary Kim *, Wonje Choi *, Guangshuo Liu, Partha Pratim Pande *, Radu Marculescu, Diana Marculescu *School of EECS

More information

Planar Wireless NoC Architectures

Planar Wireless NoC Architectures Planar Wireless NoC Architectures Partha Pratim Pande and Deukhyoun Heo School of Electrical Engineering and Computer Science Additional Contributors: Amlan Ganguly, Sujay Deb, Paul WeCin, Jacob Murray,

More information

Energy-Efficient Multicore Chip Design Through Cross-Layer Approach

Energy-Efficient Multicore Chip Design Through Cross-Layer Approach Energy-Efficient Multicore Chip Design Through Cross-Layer Approach Paul Wettin, Jacob Murray, Partha Pande, Behrooz Shirazi School of Electrical Engineering and Computer Science Washington State University

More information

Thermal Hotspot Reduction in mm-wave Wireless NoC Architectures

Thermal Hotspot Reduction in mm-wave Wireless NoC Architectures Thermal Hotspot Reduction in mm-wave Wireless NoC Architectures Jacob Murray, Paul Wettin, Ryan Kim, Xinmin Yu, Partha Pratim Pande, Behrooz Shirazi, Deukhyoun Heo School of Electrical Engineering and

More information

DVFS-ENABLED SUSTAINABLE WIRELESS NoC ARCHITECTURE

DVFS-ENABLED SUSTAINABLE WIRELESS NoC ARCHITECTURE DVFS-ENABLED SUSTAINABLE WIRELESS NoC ARCHITECTURE Jacob Murray, Partha Pratim Pande, Behrooz Shirazi School of Electrical Engineering and Computer Science Washington State University {jmurray, pande,

More information

COMPARATIVE PERFORMANCE EVALUATION OF WIRELESS AND OPTICAL NOC ARCHITECTURES

COMPARATIVE PERFORMANCE EVALUATION OF WIRELESS AND OPTICAL NOC ARCHITECTURES COMPARATIVE PERFORMANCE EVALUATION OF WIRELESS AND OPTICAL NOC ARCHITECTURES Sujay Deb, Kevin Chang, Amlan Ganguly, Partha Pande School of Electrical Engineering and Computer Science, Washington State

More information

CMOS Compatible Many-Core NoC Architectures with Multi-Channel Millimeter-Wave Wireless Links

CMOS Compatible Many-Core NoC Architectures with Multi-Channel Millimeter-Wave Wireless Links CMOS Compatible Many-Core NoC Architectures with Multi-Channel Millimeter-Wave Wireless Links Sujay Deb, Kevin Chang, Miralem Cosic, Partha Pande, Deukhyoun Heo, Benjamin Belzer School of Electrical Engineering

More information

Small-World Network Enabled Energy Efficient and Robust 3D NoC Architectures

Small-World Network Enabled Energy Efficient and Robust 3D NoC Architectures Small-World Network Enabled Energy Efficient and Robust 3D NoC Architectures Sourav Das, Dongjin Lee, Dae Hyun Kim, Partha Pratim Pande School of Electrical Engineering and Computer Science Washington

More information

Wireless NoC and Dynamic VFI Co-Design: Energy Efficiency without Performance Penalty

Wireless NoC and Dynamic VFI Co-Design: Energy Efficiency without Performance Penalty > REPLACE THIS LINE WITH YOUR PAPER IDENTIFICATION NUMBER (DOUBLE-CLICK HERE TO EDIT) < Wireless NoC and Dynamic VFI Co-Design: Energy Efficiency without Performance Penalty Ryan Gary Kim, Student Member,

More information

Network on Chip Architectures BY JAGAN MURALIDHARAN NIRAJ VASUDEVAN

Network on Chip Architectures BY JAGAN MURALIDHARAN NIRAJ VASUDEVAN Network on Chip Architectures BY JAGAN MURALIDHARAN NIRAJ VASUDEVAN Multi Core Chips No more single processor systems High computational power requirements Increasing clock frequency increases power dissipation

More information

Network on Chip Architecture: An Overview

Network on Chip Architecture: An Overview Network on Chip Architecture: An Overview Md Shahriar Shamim & Naseef Mansoor 12/5/2014 1 Overview Introduction Multi core chip Challenges Network on Chip Architecture Regular Topology Irregular Topology

More information

Design Space Exploration for Wireless NoCs Incorporating Irregular Network Routing

Design Space Exploration for Wireless NoCs Incorporating Irregular Network Routing > REPLACE THIS LINE WITH YOUR PAPER IDENTIFICATION NUMBER (DOUBLE-CLICK HERE TO EDIT) < Design Space Exploration for Wireless NoCs Incorporating Irregular Network Routing P. Wettin, Student Member, IEEE,

More information

MILLIMETER-WAVE WIRELESS NETWORK-ON-CHIP: A CMOS COMPATIBLE INTERCONNECTION INFRASTRUCTURE FOR FUTURE MANY-CORE PROCESSORS SUJAY DEB

MILLIMETER-WAVE WIRELESS NETWORK-ON-CHIP: A CMOS COMPATIBLE INTERCONNECTION INFRASTRUCTURE FOR FUTURE MANY-CORE PROCESSORS SUJAY DEB MILLIMETER-WAVE WIRELESS NETWORK-ON-CHIP: A CMOS COMPATIBLE INTERCONNECTION INFRASTRUCTURE FOR FUTURE MANY-CORE PROCESSORS By SUJAY DEB A dissertation submitted in partial fulfillment of the requirements

More information

PERFORMANCE EVALUATION OF WIRELESS NETWORKS ON CHIP JYUN-LYANG CHANG

PERFORMANCE EVALUATION OF WIRELESS NETWORKS ON CHIP JYUN-LYANG CHANG PERFORMANCE EVALUATION OF WIRELESS NETWORKS ON CHIP By JYUN-LYANG CHANG A thesis submitted in partial fulfillment of the requirements for the degree of MASTER OF SCIENCE IN ELECTRICAL ENGINEERING WASHINGTON

More information

WITH the development of the semiconductor technology,

WITH the development of the semiconductor technology, Dual-Link Hierarchical Cluster-Based Interconnect Architecture for 3D Network on Chip Guang Sun, Yong Li, Yuanyuan Zhang, Shijun Lin, Li Su, Depeng Jin and Lieguang zeng Abstract Network on Chip (NoC)

More information

Enhancing Performance of Network-on-Chip Architectures with Millimeter-Wave Wireless Interconnects

Enhancing Performance of Network-on-Chip Architectures with Millimeter-Wave Wireless Interconnects Enhancing Performance of etwork-on-chip Architectures with Millimeter-Wave Wireless Interconnects Sujay Deb, Amlan Ganguly, Kevin Chang, Partha Pande, Benjamin Belzer, Deuk Heo School of Electrical Engineering

More information

Overlaid Mesh Topology Design and Deadlock Free Routing in Wireless Network-on-Chip. Danella Zhao and Ruizhe Wu Presented by Zhonghai Lu, KTH

Overlaid Mesh Topology Design and Deadlock Free Routing in Wireless Network-on-Chip. Danella Zhao and Ruizhe Wu Presented by Zhonghai Lu, KTH Overlaid Mesh Topology Design and Deadlock Free Routing in Wireless Network-on-Chip Danella Zhao and Ruizhe Wu Presented by Zhonghai Lu, KTH Outline Introduction Overview of WiNoC system architecture Overlaid

More information

Noc Evolution and Performance Optimization by Addition of Long Range Links: A Survey. By Naveen Choudhary & Vaishali Maheshwari

Noc Evolution and Performance Optimization by Addition of Long Range Links: A Survey. By Naveen Choudhary & Vaishali Maheshwari Global Journal of Computer Science and Technology: E Network, Web & Security Volume 15 Issue 6 Version 1.0 Year 2015 Type: Double Blind Peer Reviewed International Research Journal Publisher: Global Journals

More information

Performance Evaluation and Design Trade-Offs for Wireless Network-on-Chip Architectures

Performance Evaluation and Design Trade-Offs for Wireless Network-on-Chip Architectures Performance Evaluation and Design Trade-Offs for Wireless Network-on-Chip Architectures KEVIN CHANG and SUJAY DEB, Washington State University AMLAN GANGULY, Rochester Institute of Technology XINMIN YU,

More information

on Chip Architectures for Multi Core Systems

on Chip Architectures for Multi Core Systems Wireless Network on on Chip Architectures for Multi Core Systems Pratheep Joe Siluvai, Qutaiba Saleh pi4810@rit.edu, qms7252@rit.edu Outlines Multi-core System Wired Network-on-chip o Problem of wired

More information

Fault Tolerant and Secure Architectures for On Chip Networks With Emerging Interconnect Technologies. Mohsin Y Ahmed Conlan Wesson

Fault Tolerant and Secure Architectures for On Chip Networks With Emerging Interconnect Technologies. Mohsin Y Ahmed Conlan Wesson Fault Tolerant and Secure Architectures for On Chip Networks With Emerging Interconnect Technologies Mohsin Y Ahmed Conlan Wesson Overview NoC: Future generation of many core processor on a single chip

More information

CAD System Lab Graduate Institute of Electronics Engineering National Taiwan University Taipei, Taiwan, ROC

CAD System Lab Graduate Institute of Electronics Engineering National Taiwan University Taipei, Taiwan, ROC QoS Aware BiNoC Architecture Shih-Hsin Lo, Ying-Cherng Lan, Hsin-Hsien Hsien Yeh, Wen-Chung Tsai, Yu-Hen Hu, and Sao-Jie Chen Ying-Cherng Lan CAD System Lab Graduate Institute of Electronics Engineering

More information

FDMA Enabled Phase-based Wireless Networkon-Chip using Graphene-based THz-band Antennas

FDMA Enabled Phase-based Wireless Networkon-Chip using Graphene-based THz-band Antennas Rochester Institute of Technology RIT Scholar Works Theses Thesis/Dissertation Collections 11-2017 FDMA Enabled Phase-based Wireless Networkon-Chip using Graphene-based THz-band Antennas Deekshith Shenoy

More information

Achieving Lightweight Multicast in Asynchronous Networks-on-Chip Using Local Speculation

Achieving Lightweight Multicast in Asynchronous Networks-on-Chip Using Local Speculation Achieving Lightweight Multicast in Asynchronous Networks-on-Chip Using Local Speculation Kshitij Bhardwaj Dept. of Computer Science Columbia University Steven M. Nowick 2016 ACM/IEEE Design Automation

More information

Wireless NoC as Interconnection Backbone for Multicore Chips: Promises and Challenges

Wireless NoC as Interconnection Backbone for Multicore Chips: Promises and Challenges 228 IEEE JOURNAL ON EMERGING AND SELECTED TOPICS IN CIRCUITS AND SYSTEMS, VOL. 2, NO. 2, JUNE 2012 Wireless NoC as Interconnection Backbone for Multicore Chips: Promises and Challenges Sujay Deb, Student

More information

Design and Implementation of Buffer Loan Algorithm for BiNoC Router

Design and Implementation of Buffer Loan Algorithm for BiNoC Router Design and Implementation of Buffer Loan Algorithm for BiNoC Router Deepa S Dev Student, Department of Electronics and Communication, Sree Buddha College of Engineering, University of Kerala, Kerala, India

More information

ACCORDING to the International Technology Roadmap

ACCORDING to the International Technology Roadmap 420 IEEE JOURNAL ON EMERGING AND SELECTED TOPICS IN CIRCUITS AND SYSTEMS, VOL. 1, NO. 3, SEPTEMBER 2011 A Voltage-Frequency Island Aware Energy Optimization Framework for Networks-on-Chip Wooyoung Jang,

More information

BARP-A Dynamic Routing Protocol for Balanced Distribution of Traffic in NoCs

BARP-A Dynamic Routing Protocol for Balanced Distribution of Traffic in NoCs -A Dynamic Routing Protocol for Balanced Distribution of Traffic in NoCs Pejman Lotfi-Kamran, Masoud Daneshtalab *, Caro Lucas, and Zainalabedin Navabi School of Electrical and Computer Engineering, The

More information

MinRoot and CMesh: Interconnection Architectures for Network-on-Chip Systems

MinRoot and CMesh: Interconnection Architectures for Network-on-Chip Systems MinRoot and CMesh: Interconnection Architectures for Network-on-Chip Systems Mohammad Ali Jabraeil Jamali, Ahmad Khademzadeh Abstract The success of an electronic system in a System-on- Chip is highly

More information

Evaluation of temperature-performance trade-offs in wireless network-on-chip architectures

Evaluation of temperature-performance trade-offs in wireless network-on-chip architectures Rochester Institute of Technology RIT Scholar Works Theses Thesis/Dissertation Collections 5-1-2013 Evaluation of temperature-performance trade-offs in wireless network-on-chip architectures Nishad Nerurkar

More information

A Dynamic NOC Arbitration Technique using Combination of VCT and XY Routing

A Dynamic NOC Arbitration Technique using Combination of VCT and XY Routing 727 A Dynamic NOC Arbitration Technique using Combination of VCT and XY Routing 1 Bharati B. Sayankar, 2 Pankaj Agrawal 1 Electronics Department, Rashtrasant Tukdoji Maharaj Nagpur University, G.H. Raisoni

More information

STG-NoC: A Tool for Generating Energy Optimized Custom Built NoC Topology

STG-NoC: A Tool for Generating Energy Optimized Custom Built NoC Topology STG-NoC: A Tool for Generating Energy Optimized Custom Built NoC Topology Surbhi Jain Naveen Choudhary Dharm Singh ABSTRACT Network on Chip (NoC) has emerged as a viable solution to the complex communication

More information

High Performance Interconnect and NoC Router Design

High Performance Interconnect and NoC Router Design High Performance Interconnect and NoC Router Design Brinda M M.E Student, Dept. of ECE (VLSI Design) K.Ramakrishnan College of Technology Samayapuram, Trichy 621 112 brinda18th@gmail.com Devipoonguzhali

More information

FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC)

FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC) FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC) D.Udhayasheela, pg student [Communication system],dept.ofece,,as-salam engineering and technology, N.MageshwariAssistant Professor

More information

International Journal of Research and Innovation in Applied Science (IJRIAS) Volume I, Issue IX, December 2016 ISSN

International Journal of Research and Innovation in Applied Science (IJRIAS) Volume I, Issue IX, December 2016 ISSN Comparative Analysis of Latency, Throughput and Network Power for West First, North Last and West First North Last Routing For 2D 4 X 4 Mesh Topology NoC Architecture Bhupendra Kumar Soni 1, Dr. Girish

More information

Design and Evaluation of Technology-Agnostic Heterogeneous Networks-on-Chip

Design and Evaluation of Technology-Agnostic Heterogeneous Networks-on-Chip 0 Design and Evaluation of Technology-Agnostic Heterogeneous Networks-on-Chip Haera Chung, Portland State University Christof Teuscher, Portland State University Partha Pande, Washington State University

More information

Routing Algorithms, Process Model for Quality of Services (QoS) and Architectures for Two-Dimensional 4 4 Mesh Topology Network-on-Chip

Routing Algorithms, Process Model for Quality of Services (QoS) and Architectures for Two-Dimensional 4 4 Mesh Topology Network-on-Chip Routing Algorithms, Process Model for Quality of Services (QoS) and Architectures for Two-Dimensional 4 4 Mesh Topology Network-on-Chip Nauman Jalil, Adnan Qureshi, Furqan Khan, and Sohaib Ayyaz Qazi Abstract

More information

SURVEY ON LOW-LATENCY AND LOW-POWER SCHEMES FOR ON-CHIP NETWORKS

SURVEY ON LOW-LATENCY AND LOW-POWER SCHEMES FOR ON-CHIP NETWORKS SURVEY ON LOW-LATENCY AND LOW-POWER SCHEMES FOR ON-CHIP NETWORKS Chandrika D.N 1, Nirmala. L 2 1 M.Tech Scholar, 2 Sr. Asst. Prof, Department of electronics and communication engineering, REVA Institute

More information

Combining In-Transit Buffers with Optimized Routing Schemes to Boost the Performance of Networks with Source Routing?

Combining In-Transit Buffers with Optimized Routing Schemes to Boost the Performance of Networks with Source Routing? Combining In-Transit Buffers with Optimized Routing Schemes to Boost the Performance of Networks with Source Routing? J. Flich 1,P.López 1, M. P. Malumbres 1, J. Duato 1, and T. Rokicki 2 1 Dpto. Informática

More information

Low-Power Interconnection Networks

Low-Power Interconnection Networks Low-Power Interconnection Networks Li-Shiuan Peh Associate Professor EECS, CSAIL & MTL MIT 1 Moore s Law: Double the number of transistors on chip every 2 years 1970: Clock speed: 108kHz No. transistors:

More information

OVERVIEW: NETWORK ON CHIP 3D ARCHITECTURE

OVERVIEW: NETWORK ON CHIP 3D ARCHITECTURE OVERVIEW: NETWORK ON CHIP 3D ARCHITECTURE 1 SOMASHEKHAR, 2 REKHA S 1 M. Tech Student (VLSI Design & Embedded System), Department of Electronics & Communication Engineering, AIET, Gulbarga, Karnataka, INDIA

More information

NoC Test-Chip Project: Working Document

NoC Test-Chip Project: Working Document NoC Test-Chip Project: Working Document Michele Petracca, Omar Ahmad, Young Jin Yoon, Frank Zovko, Luca Carloni and Kenneth Shepard I. INTRODUCTION This document describes the low-power high-performance

More information

Energy-efficient Custom Topology-based Dynamic Voltage-frequency Island-enabled Network-on-chip Design

Energy-efficient Custom Topology-based Dynamic Voltage-frequency Island-enabled Network-on-chip Design JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.18, NO.3, JUNE, 2018 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2018.18.3.352 ISSN(Online) 2233-4866 Energy-efficient Custom Topology-based

More information

Fault-Tolerant Multiple Task Migration in Mesh NoC s over virtual Point-to-Point connections

Fault-Tolerant Multiple Task Migration in Mesh NoC s over virtual Point-to-Point connections Fault-Tolerant Multiple Task Migration in Mesh NoC s over virtual Point-to-Point connections A.SAI KUMAR MLR Group of Institutions Dundigal,INDIA B.S.PRIYANKA KUMARI CMR IT Medchal,INDIA Abstract Multiple

More information

Power and Performance Efficient Partial Circuits in Packet-Switched Networks-on-Chip

Power and Performance Efficient Partial Circuits in Packet-Switched Networks-on-Chip 2013 21st Euromicro International Conference on Parallel, Distributed, and Network-Based Processing Power and Performance Efficient Partial Circuits in Packet-Switched Networks-on-Chip Nasibeh Teimouri

More information

THE Network-on-chip (NoC) has emerged as a revolutionary

THE Network-on-chip (NoC) has emerged as a revolutionary 2382 IEEE TRANSACTIONS ON COMPUTERS, VOL. 62, NO. 12, DECEMBER 2013 Design of an Energy-Efficient CMOS-Compatible NoC Architecture with Millimeter-Wave Wireless Interconnects Sujay Deb, Member, IEEE, Kevin

More information

Design of an Efficient NoC Architecture using Millimeter-Wave Wireless Links

Design of an Efficient NoC Architecture using Millimeter-Wave Wireless Links Design of an Efficient NoC Architecture using Millimeter-Wave Wireless Links Sujay Deb 1, Kevin Chang 1, Amlan Ganguly 2, Xinmin Yu 1, Christof Teuscher 3, Partha Pande 1, Deukhyoun Heo 1, Benjamin Belzer

More information

An Industrial Employee Development Application Protocol Using Wireless Sensor Networks

An Industrial Employee Development Application Protocol Using Wireless Sensor Networks RESEARCH ARTICLE An Industrial Employee Development Application Protocol Using Wireless Sensor Networks 1 N.Roja Ramani, 2 A.Stenila 1,2 Asst.professor, Dept.of.Computer Application, Annai Vailankanni

More information

1. INTRODUCTION light tree First Generation Second Generation Third Generation

1. INTRODUCTION light tree First Generation Second Generation Third Generation 1. INTRODUCTION Today, there is a general consensus that, in the near future, wide area networks (WAN)(such as, a nation wide backbone network) will be based on Wavelength Division Multiplexed (WDM) optical

More information

Figure 1. Clustering in MANET.

Figure 1. Clustering in MANET. Volume 6, Issue 12, December 2016 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com Performance

More information

Design of an Energy Efficient CMOS Compatible NoC Architecture with Millimeter- Wave Wireless Interconnects

Design of an Energy Efficient CMOS Compatible NoC Architecture with Millimeter- Wave Wireless Interconnects IEEE TRANSACTIONS ON JOURNAL NAME, MANUSCRIPT ID 1 Design of an Energy Efficient CMOS Compatible NoC Architecture with Millimeter- Wave Wireless Interconnects Sujay Deb, Student Member, IEEE, Kevin Chang,

More information

udirec: Unified Diagnosis and Reconfiguration for Frugal Bypass of NoC Faults

udirec: Unified Diagnosis and Reconfiguration for Frugal Bypass of NoC Faults 1/45 1/22 MICRO-46, 9 th December- 213 Davis, California udirec: Unified Diagnosis and Reconfiguration for Frugal Bypass of NoC Faults Ritesh Parikh and Valeria Bertacco Electrical Engineering & Computer

More information

Networks-on-Chip Router: Configuration and Implementation

Networks-on-Chip Router: Configuration and Implementation Networks-on-Chip : Configuration and Implementation Wen-Chung Tsai, Kuo-Chih Chu * 2 1 Department of Information and Communication Engineering, Chaoyang University of Technology, Taichung 413, Taiwan,

More information

Network-on-Chip Architecture

Network-on-Chip Architecture Multiple Processor Systems(CMPE-655) Network-on-Chip Architecture Performance aspect and Firefly network architecture By Siva Shankar Chandrasekaran and SreeGowri Shankar Agenda (Enhancing performance)

More information

Evaluation of NOC Using Tightly Coupled Router Architecture

Evaluation of NOC Using Tightly Coupled Router Architecture IOSR Journal of Computer Engineering (IOSR-JCE) e-issn: 2278-0661,p-ISSN: 2278-8727, Volume 18, Issue 1, Ver. II (Jan Feb. 2016), PP 01-05 www.iosrjournals.org Evaluation of NOC Using Tightly Coupled Router

More information

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017 Design of Low Power Adder in ALU Using Flexible Charge Recycling Dynamic Circuit Pallavi Mamidala 1 K. Anil kumar 2 mamidalapallavi@gmail.com 1 anilkumar10436@gmail.com 2 1 Assistant Professor, Dept of

More information

Bandwidth Aware Routing Algorithms for Networks-on-Chip

Bandwidth Aware Routing Algorithms for Networks-on-Chip 1 Bandwidth Aware Routing Algorithms for Networks-on-Chip G. Longo a, S. Signorino a, M. Palesi a,, R. Holsmark b, S. Kumar b, and V. Catania a a Department of Computer Science and Telecommunications Engineering

More information

Bridging and Switching Basics

Bridging and Switching Basics CHAPTER 4 Bridging and Switching Basics This chapter introduces the technologies employed in devices loosely referred to as bridges and switches. Topics summarized here include general link-layer device

More information

Research Statement. 1. On-chip Wireless Communication Network for Multi-Core Chips

Research Statement. 1. On-chip Wireless Communication Network for Multi-Core Chips Research Statement Current Research Interests: My current research principally revolves around the broad topic of Network-on-Chip (NoC), which has emerged as the communication backbone for multi-core chips.

More information

A Software LDPC Decoder Implemented on a Many-Core Array of Programmable Processors

A Software LDPC Decoder Implemented on a Many-Core Array of Programmable Processors A Software LDPC Decoder Implemented on a Many-Core Array of Programmable Processors Brent Bohnenstiehl and Bevan Baas Department of Electrical and Computer Engineering University of California, Davis {bvbohnen,

More information

Lecture 13: Interconnection Networks. Topics: lots of background, recent innovations for power and performance

Lecture 13: Interconnection Networks. Topics: lots of background, recent innovations for power and performance Lecture 13: Interconnection Networks Topics: lots of background, recent innovations for power and performance 1 Interconnection Networks Recall: fully connected network, arrays/rings, meshes/tori, trees,

More information

A Thermal-aware Application specific Routing Algorithm for Network-on-chip Design

A Thermal-aware Application specific Routing Algorithm for Network-on-chip Design A Thermal-aware Application specific Routing Algorithm for Network-on-chip Design Zhi-Liang Qian and Chi-Ying Tsui VLSI Research Laboratory Department of Electronic and Computer Engineering The Hong Kong

More information

Network-on-Chip Micro-Benchmarks

Network-on-Chip Micro-Benchmarks Network-on-Chip Micro-Benchmarks Zhonghai Lu *, Axel Jantsch *, Erno Salminen and Cristian Grecu * Royal Institute of Technology, Sweden Tampere University of Technology, Finland Abstract University of

More information

Sustainability through Massively Integrated Computing: Are We Ready to Break the Energy Efficiency Wall for Single-Chip Platforms?

Sustainability through Massively Integrated Computing: Are We Ready to Break the Energy Efficiency Wall for Single-Chip Platforms? Sustainability through Massively Integrated Computing: Are We Ready to Break the Energy Efficiency Wall for Single-Chip Platforms? Partha Pande School of EECS Washington State Univ. Pullman, WA, USA Fabien

More information

Basic Low Level Concepts

Basic Low Level Concepts Course Outline Basic Low Level Concepts Case Studies Operation through multiple switches: Topologies & Routing v Direct, indirect, regular, irregular Formal models and analysis for deadlock and livelock

More information

Part IV: 3D WiNoC Architectures

Part IV: 3D WiNoC Architectures Wireless NoC as Interconnection Backbone for Multicore Chips: Promises, Challenges, and Recent Developments Part IV: 3D WiNoC Architectures Hiroki Matsutani Keio University, Japan 1 Outline: 3D WiNoC Architectures

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information

4. Networks. in parallel computers. Advances in Computer Architecture

4. Networks. in parallel computers. Advances in Computer Architecture 4. Networks in parallel computers Advances in Computer Architecture System architectures for parallel computers Control organization Single Instruction stream Multiple Data stream (SIMD) All processors

More information

NEtwork-on-Chip (NoC) [3], [6] is a scalable interconnect

NEtwork-on-Chip (NoC) [3], [6] is a scalable interconnect 1 A Soft Tolerant Network-on-Chip Router Pipeline for Multi-core Systems Pavan Poluri and Ahmed Louri Department of Electrical and Computer Engineering, University of Arizona Email: pavanp@email.arizona.edu,

More information

STLAC: A Spatial and Temporal Locality-Aware Cache and Networkon-Chip

STLAC: A Spatial and Temporal Locality-Aware Cache and Networkon-Chip STLAC: A Spatial and Temporal Locality-Aware Cache and Networkon-Chip Codesign for Tiled Manycore Systems Mingyu Wang and Zhaolin Li Institute of Microelectronics, Tsinghua University, Beijing 100084,

More information

An Interconnection Architecture for Seamless Inter and Intra-Chip Communication Using Wireless Links

An Interconnection Architecture for Seamless Inter and Intra-Chip Communication Using Wireless Links Rochester Institute of Technology RIT Scholar Works Theses Thesis/Dissertation Collections 6-2015 An Interconnection Architecture for Seamless Inter and Intra-Chip Communication Using Wireless Links Jagan

More information

Dynamic Network Reconfiguration for Switch-based Networks

Dynamic Network Reconfiguration for Switch-based Networks Dynamic Network Reconfiguration for Switch-based Networks Ms. Deepti Metri 1, Prof. A. V. Mophare 2 1Student, Computer Science and Engineering, N. B. N. Sinhgad College of Engineering, Maharashtra, India

More information

Temperature Evaluation of NoC Architectures and Dynamically Reconfigurable NoC

Temperature Evaluation of NoC Architectures and Dynamically Reconfigurable NoC Rochester Institute of Technology RIT Scholar Works Theses Thesis/Dissertation Collections 2-2014 Temperature Evaluation of NoC Architectures and Dynamically Reconfigurable NoC Aniket Dilip Mhatre Follow

More information

3. Evaluation of Selected Tree and Mesh based Routing Protocols

3. Evaluation of Selected Tree and Mesh based Routing Protocols 33 3. Evaluation of Selected Tree and Mesh based Routing Protocols 3.1 Introduction Construction of best possible multicast trees and maintaining the group connections in sequence is challenging even in

More information

THE Network-on-Chip (NoC) paradigm has emerged as a

THE Network-on-Chip (NoC) paradigm has emerged as a IEEE TRANSACTIONS ON COMPUTERS, VOL. 60, NO. 10, OCTOBER 2011 1485 Scalable Hybrid Wireless Network-on-Chip Architectures for Multicore Systems Amlan Ganguly, Member, IEEE, Kevin Chang, Student Member,

More information

Lecture 1 Overview - Data Communications, Data Networks, and the Internet

Lecture 1 Overview - Data Communications, Data Networks, and the Internet DATA AND COMPUTER COMMUNICATIONS Lecture 1 Overview - Data Communications, Data Networks, and the Internet Mei Yang Based on Lecture slides by William Stallings 1 OUTLINE Data Communications and Networking

More information

A Hybrid Approach to CAM-Based Longest Prefix Matching for IP Route Lookup

A Hybrid Approach to CAM-Based Longest Prefix Matching for IP Route Lookup A Hybrid Approach to CAM-Based Longest Prefix Matching for IP Route Lookup Yan Sun and Min Sik Kim School of Electrical Engineering and Computer Science Washington State University Pullman, Washington

More information

LOW POWER REDUCED ROUTER NOC ARCHITECTURE DESIGN WITH CLASSICAL BUS BASED SYSTEM

LOW POWER REDUCED ROUTER NOC ARCHITECTURE DESIGN WITH CLASSICAL BUS BASED SYSTEM Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 4, Issue. 5, May 2015, pg.705

More information

A 256-Radix Crossbar Switch Using Mux-Matrix-Mux Folded-Clos Topology

A 256-Radix Crossbar Switch Using Mux-Matrix-Mux Folded-Clos Topology http://dx.doi.org/10.5573/jsts.014.14.6.760 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.6, DECEMBER, 014 A 56-Radix Crossbar Switch Using Mux-Matrix-Mux Folded-Clos Topology Sung-Joon Lee

More information

DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech)

DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech) DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech) K.Prasad Babu 2 M.tech (Ph.d) hanumanthurao19@gmail.com 1 kprasadbabuece433@gmail.com 2 1 PG scholar, VLSI, St.JOHNS

More information

Deadlock-free XY-YX router for on-chip interconnection network

Deadlock-free XY-YX router for on-chip interconnection network LETTER IEICE Electronics Express, Vol.10, No.20, 1 5 Deadlock-free XY-YX router for on-chip interconnection network Yeong Seob Jeong and Seung Eun Lee a) Dept of Electronic Engineering Seoul National Univ

More information

Benefits and Costs of Prediction Based DVFS for NoCs at Router Level

Benefits and Costs of Prediction Based DVFS for NoCs at Router Level 0.005 0.01 0.02 0.0299 0.0419 0.0439 0.0459 0.0479 0.0499 0.0519 0.0539 0.0559 0.0579 0.0599 0.0629 0.0649 Percentage of Power (%) Latency (Cycles) Power (W) Benefits and Costs of Prediction d DVFS for

More information

Designing Scalable Hybrid Wireless NoC for GPGPUs

Designing Scalable Hybrid Wireless NoC for GPGPUs Designing Scalable Hybrid Wireless NoC for GPGPUs Hui Zhao, Xianwei Cheng, Saraju Mohanty, and Juan Fang 2 Department of Computer Science and Engineering, University of North Texas hui.zhao@unt.edu, xianweicheng@my.unt.edu,

More information

Impact of End-to-end QoS Connectivity on the Performance of Remote Wireless Local Networks

Impact of End-to-end QoS Connectivity on the Performance of Remote Wireless Local Networks Impact of End-to-end QoS Connectivity on the Performance of Remote Wireless Local Networks Veselin Rakocevic School of Engineering and Mathematical Sciences City University London EC1V HB, UK V.Rakocevic@city.ac.uk

More information

Design and Implementation of Multistage Interconnection Networks for SoC Networks

Design and Implementation of Multistage Interconnection Networks for SoC Networks International Journal of Computer Science, Engineering and Information Technology (IJCSEIT), Vol.2, No.5, October 212 Design and Implementation of Multistage Interconnection Networks for SoC Networks Mahsa

More information

Chapter 7 CONCLUSION

Chapter 7 CONCLUSION 97 Chapter 7 CONCLUSION 7.1. Introduction A Mobile Ad-hoc Network (MANET) could be considered as network of mobile nodes which communicate with each other without any fixed infrastructure. The nodes in

More information

Tree-structured small-world connected wireless network-on-chip with adaptive routing

Tree-structured small-world connected wireless network-on-chip with adaptive routing Rochester Institute of Technology RIT Scholar Works Theses Thesis/Dissertation Collections -- Tree-structured small-world connected wireless network-on-chip with adaptive routing Andrew Benjamin Follow

More information

OASIS Network-on-Chip Prototyping on FPGA

OASIS Network-on-Chip Prototyping on FPGA Master thesis of the University of Aizu, Feb. 20, 2012 OASIS Network-on-Chip Prototyping on FPGA m5141120, Kenichi Mori Supervised by Prof. Ben Abdallah Abderazek Adaptive Systems Laboratory, Master of

More information

Performance of Multihop Communications Using Logical Topologies on Optical Torus Networks

Performance of Multihop Communications Using Logical Topologies on Optical Torus Networks Performance of Multihop Communications Using Logical Topologies on Optical Torus Networks X. Yuan, R. Melhem and R. Gupta Department of Computer Science University of Pittsburgh Pittsburgh, PA 156 fxyuan,

More information

Introduction to Mobile Ad hoc Networks (MANETs)

Introduction to Mobile Ad hoc Networks (MANETs) Introduction to Mobile Ad hoc Networks (MANETs) 1 Overview of Ad hoc Network Communication between various devices makes it possible to provide unique and innovative services. Although this inter-device

More information

Lecture 18: Communication Models and Architectures: Interconnection Networks

Lecture 18: Communication Models and Architectures: Interconnection Networks Design & Co-design of Embedded Systems Lecture 18: Communication Models and Architectures: Interconnection Networks Sharif University of Technology Computer Engineering g Dept. Winter-Spring 2008 Mehdi

More information

Combining In-Transit Buffers with Optimized Routing Schemes to Boost the Performance of Networks with Source Routing

Combining In-Transit Buffers with Optimized Routing Schemes to Boost the Performance of Networks with Source Routing Combining In-Transit Buffers with Optimized Routing Schemes to Boost the Performance of Networks with Source Routing Jose Flich 1,PedroLópez 1, Manuel. P. Malumbres 1, José Duato 1,andTomRokicki 2 1 Dpto.

More information

A Survey of Techniques for Power Aware On-Chip Networks.

A Survey of Techniques for Power Aware On-Chip Networks. A Survey of Techniques for Power Aware On-Chip Networks. Samir Chopra Ji Young Park May 2, 2005 1. Introduction On-chip networks have been proposed as a solution for challenges from process technology

More information

Analysis of Black-Hole Attack in MANET using AODV Routing Protocol

Analysis of Black-Hole Attack in MANET using AODV Routing Protocol Analysis of Black-Hole Attack in MANET using Routing Protocol Ms Neha Choudhary Electronics and Communication Truba College of Engineering, Indore India Dr Sudhir Agrawal Electronics and Communication

More information

Application-Specific Network-on-Chip Architecture Customization via Long-Range Link Insertion

Application-Specific Network-on-Chip Architecture Customization via Long-Range Link Insertion Application-Specific Network-on-Chip Architecture Customization via Long-Range Link Insertion Umit Y. Ogras Department of Electrical and Computer Engineering Carnegie Mellon University Pittsburgh, PA 15213-3890,

More information

Progress Report No. 15. Shared Segments Protection

Progress Report No. 15. Shared Segments Protection NEXT GENERATION NETWORK (NGN) AVAILABILITY & RESILIENCE RESEARCH Progress Report No. 15 Shared Segments Protection The University of Canterbury Team 18 April 2006 Abstract As a complement to the Canterbury

More information

ISSN Vol.03, Issue.02, March-2015, Pages:

ISSN Vol.03, Issue.02, March-2015, Pages: ISSN 2322-0929 Vol.03, Issue.02, March-2015, Pages:0122-0126 www.ijvdcs.org Design and Simulation Five Port Router using Verilog HDL CH.KARTHIK 1, R.S.UMA SUSEELA 2 1 PG Scholar, Dept of VLSI, Gokaraju

More information

Module 1. Introduction. Version 2, CSE IIT, Kharagpur

Module 1. Introduction. Version 2, CSE IIT, Kharagpur Module 1 Introduction Version 2, CSE IIT, Kharagpur Introduction In this module we shall highlight some of the basic aspects of computer networks in two lessons. In lesson 1.1 we shall start with the historical

More information

A Literature Review of on-chip Network Design using an Agent-based Management Method

A Literature Review of on-chip Network Design using an Agent-based Management Method A Literature Review of on-chip Network Design using an Agent-based Management Method Mr. Kendaganna Swamy S Dr. Anand Jatti Dr. Uma B V Instrumentation Instrumentation Communication Bangalore, India Bangalore,

More information