S2CBench : Synthesizable SystemC Benchmark Suite for High-Level Synthesis

Size: px
Start display at page:

Download "S2CBench : Synthesizable SystemC Benchmark Suite for High-Level Synthesis"

Transcription

1 S2CBench : Synthesizable SystemC Benchmark Suite for High-Level Synthesis Benjamin Carrion Schafer 1, Ansuhree Mahapatra 2 The Hong Kong Polytechnic University Department of Electronic and Information Engineering b.carrionschafer@polyu.edu.hk 1, anushree.mahapatra@connect.polyu.hk

2 Outline Motivation for a Synthesizable SystemC Benchmark Suite Requirements for S2CBench A review of past HLS benchmarks S2CBench overview 12 synthesizable design 1 non synthesizable (tests floating point and trigonometric functions) Benchmark composition overview Detail benchmark characteristics Size Complexity Arithmetic operations How to download Conclusions 2

3 Motivation for S2CBench HLS tool evaluation times Not evaluation standards Not enough HLS models for RTL designs to compare QoR HLS tool input languages Different HLS tools support different input languages One common language supported by all HLS tools: SystemC Vendor Tool Name Supported Languages Cadence (Forte) Cynthesizer SystemC Cadence C-to-Silicon C, C++, SystemC Calypto CatapultC C++, SystemC NEC CyberWorkBench C, SystemC Xilinx Vivado HLS C, C++, SystemC 3

4 Requirements for a HLS Benchmark Must cover different domains of applications S2CBench enables the direct comparison of commercial HLS tools Test specific optimization techniques of HLS tools Avoid re-writing descriptions for tool evaluations 4

5 HLS Benchmarks: A Review CHStone HLS92,95 MiBench, MediaBench HLS benchmark based on ANSI-C Written in behavioral VHDL Written in C ANSI-C not supported by all HLS tools C does not support fixedpoint operations Targets various optimization options Currently not supported by any HLS tool Do not support fixed point operations Do not test specific HLS features 5

6 S2CBench: An Overview 12+1 SystemC Benchmarks which comply with latest SystemC synthesizable subset draft 12 Synthesizable 1 non synthesizable Covers different domains: Automotive Security Telecommunication Consumer Contains Control dominant and Data dominant designs Contains trigonometric & floating-point operations Helps check the ability of tools to support them 6

7 S2CBench: Features HLS optimizations Synthesis optimizations(e.g. loop unrolling, pipelining, function inlining, array synthesis) Synthesizer language support Tool language support(e.g. templates, structures, fixed point data types) Synthesizer performance Tool performance(e.g. synthesis run-time, accuracy of synthesis report) Every application features a testbench along with associated test vectors 7

8 S2CBench model Testbench functions: TB sends stimuli data stored in files (test vectors) to UUT TB receives the data and compares it against golden output (stored in file) TB reports if results match or not Option to dump VCD file Test vectors are modifiable 8

9 S2CBench : 12+1 designs Design Type Domain Optimizations Tested qsort dd Auto/Ind Loops, arrays, functions pointers sobel dd Auto/Ind Loops, functions, IO array expansion, multi-dimensional arrays expansion, fixed arrays (ROM, logic) aes cipher dd Security IO array expansion, multi-dimensional arrays expansion, large fixed arrays kasumi dd Security Multi-processes, delay report accuracy md5c dd Security #define macros, delay report accuracy snow3g dd Security Templates, delay report accuracy, function synthesis adpcm cd Telecom Structure synthesis FFT dd Telecom Floating point, trigonometric functions FIR dd Consumer IO array expansion, arrays, loops, functions, sum of products Decimation dd Consumer Resource sharing across loops, fixed point data types Interp dd Consumer Polynomial decomposition, fixed point data types, sum or products IDCT dd Consumer #include statement to initialize arrays, loops, functions, Disparity cd/dd Consumer Hierarchical design, multi-dimensional array expansion, synthesis running time 9

10 Detail Benchmark Contents for each Design SystemC files Top module including UUT and TB(Main.cpp) Design description( <benchmark>.cpp/.h) Testbench module(tb_<benchmark>.cpp/.h) Stimuli data Test vector( input.txt) Golden output(outputs_golden.txt) Image files(some applications) Makefile Allows different make options Make: generates executable binary(default) Make wave : binary having VCD file Make debug : binary with debug version 10

11 Quick Quick Sort Description sort design sorts data in ascending order using the well-known quick sort algorithm Optimization options to be tested loop unrolling array synthesis (register or memory) function synthesis with pointer argument support 11

12 Sobel Description edge-detection algorithm that takes a bitmap image directly as the input and returns a new bitmap image solely consisting of the edges of the original image. Optimization options to be tested nested loop unrolling and pipelining optimizations I/O ports expansion (expand inputs specified as arrays to individual ports) multi-dimensional arrays expansion fixed arrays synthesized as logic or ROMs pointer arguments to functions 12

13 AES - Advanced Encryption Standard Cipher Description Advanced Encryption Standard Cipher encryption algorithm performs AES encryption Optimization options to be tested Contains a large number of small for loops having inter-loop data dependencies. Input port expansion Array synthesis (memory or registers) Function synthesis (inline, goto) Large fixed arrays synthesized as logic or ROMs. 13

14 Kasumi Description block cipher algorithm used in mobile communication systems Composed of two sc_threads and multiple functions Optimization options to be tested Ability to synthesize large logic operations Accuracy of estimating the critical path Multi-process systems verification 14

15 MD5C - Message Digest Algorithm Description generates hash functions and check data integrity. Optimization options to be tested functions synthesis arrays of different bit widths different levels of loop nesting extensive use of define macros (language support) 15

16 Snow3G Description stream cipher that produces a key stream that consists of 32-bit blocks using a 128-bit key Contains a variable length multiplication operation Optimization options to be tested Support of templates. Loops, functions and array synthesis 16

17 ADPCM -Adaptive Differential Pulse- Code modulation (encoder part only) Description accepts 16-bit Pulse Code Modulation (PCM) samples as input and converts them into 4-bit samples Optimization options to be tested loop unrolling, function synthesis, array synthesis support for structures synthesis 17

18 FFT Fast Fourier Transform Description Converts time/space to frequency and vice versa Not synthesizable as per latest synthesis draft Optimization options to be tested Support for floating point operations and trigonometric functions Why is it included Most HLS vendors do support floating-point, trigonometric operations Helps evaluation engineers analyze the extent of support of these operations 18

19 FIR Finite Impulse Response Filter Description 10- tap FIR filter algorithm designed for 8- bit integer operations. Optimization options to be tested loop unrolling and pipelining automatic array expansion of the I/O ports pointers to functions 19

20 Decimation Filter Description 5-stage decimation filter. Consists of 5 FIR filters cascaded together where the output of one stage is the input to the next stage. Optimization options to be tested resource sharing of the Multiply Accumulate (MAC) operations across loops fixed-point data types and its different rounding and saturation modes. Ability to preserve the SoP constructs 20

21 Interpolation Filter Description 4-stage interpolation filter Optimization options to be tested automatic polynomial decompositions (Mathematical optimization of HLS tool) fixed- point data types and its different rounding and saturation modes 21

22 IDCT - Inverse Discrete Cosine Transform Description Describes a finite sequence of data points as a sum of cosine functions of different frequencies Optimization options to be tested initialization of an array using #include statement loops, functions, array synthesis 22

23 Disparity Stereoscopic Disparity Estimator Description estimates the disparity in a stereoscopic image. It is the largest of all the designs and consists of 4 processes executed in parallel Optimization options to be tested Synthesis running time of the HLS tool Verification of Multi-process (threads) systems 23

24 Detailed Benchmark Characteristics Variety of operations 24

25 Distribution of Number of Program Lines Note: Only lines of code of synthesizable description (not including testbench) 25

26 NUMBER Complexity distribution of designs Processes Function No. of arrays if statement for loop while loop 0 qsort sobel aes cipher kasumi md5c snow3g adpcm fft fir decim interp idct disparity APPLICATIONS 26

27 Publicly Available for download

28 More Information B. Carrion Schafer and A.Mahapatra, "S2CBench:Synthesizable SystemC Benchmark Suite for High-Level Synthesis IEEE Embedded Systems Letters, Accepted for publication YouTube Channel: DARClabify 28

29 Summary and Conclusions A benchmark suite in a common language supported by all major HLS vendors Each benchmark tests unique HLS features 1. Tool language support 2. Synthesis optimizations 3. Tool performance Benchmarks include testbench with inputs, golden outputs and option to generate VCD file Publicly available at or sourceforge.net 29

S2CBench : Synthesizable SystemC Benchmark Suite for High-Level Synthesis

S2CBench : Synthesizable SystemC Benchmark Suite for High-Level Synthesis S2CBench : Synthesizable SystemC Benchmark Suite for High-Level Synthesis Benjamin Carrion Schafer 1, Ansuhree Mahapatra 2 The Hong Kong Polytechnic University Department of Electronic and Information

More information

Innovative Technology Series (ITS) Training Workshop 4

Innovative Technology Series (ITS) Training Workshop 4 Innovative Technology Series (ITS) Training Workshop 4 High-Level Synthesis Benjamin CARRION SCHAFER, Ph.D. b.carrionschafer@polyu.edu.hk 1 Let s start by thanking the Sponsors 2 Today s Program 9:30 Welcome

More information

Design Space Explorer for FPGAs

Design Space Explorer for FPGAs Efficient and Reliable High-Level Synthesis Design Space Explorer for FPGAs Dong Liu 1, Benjamin Carrion Schafer 2 Department of Electronic and Information Engineering The Hong Kong Polytechnic University

More information

OUTLINE RTL DESIGN WITH ARX

OUTLINE RTL DESIGN WITH ARX 1 2 RTL DESIGN WITH ARX IMPLEMENTATION OF DIGITAL SIGNAL PROCESSING Sabih H. Gerez University of Twente OUTLINE Design languages Arx motivation and alternatives Main features of Arx Arx language elements

More information

INTRODUCTION TO CATAPULT C

INTRODUCTION TO CATAPULT C INTRODUCTION TO CATAPULT C Vijay Madisetti, Mohanned Sinnokrot Georgia Institute of Technology School of Electrical and Computer Engineering with adaptations and updates by: Dongwook Lee, Andreas Gerstlauer

More information

An Overview of a Compiler for Mapping MATLAB Programs onto FPGAs

An Overview of a Compiler for Mapping MATLAB Programs onto FPGAs An Overview of a Compiler for Mapping MATLAB Programs onto FPGAs P. Banerjee Department of Electrical and Computer Engineering Northwestern University 2145 Sheridan Road, Evanston, IL-60208 banerjee@ece.northwestern.edu

More information

81920**slide. 1Developing the Accelerator Using HLS

81920**slide. 1Developing the Accelerator Using HLS 81920**slide - 1Developing the Accelerator Using HLS - 82038**slide Objectives After completing this module, you will be able to: Describe the high-level synthesis flow Describe the capabilities of the

More information

Implementing MATLAB Algorithms in FPGAs and ASICs By Alexander Schreiber Senior Application Engineer MathWorks

Implementing MATLAB Algorithms in FPGAs and ASICs By Alexander Schreiber Senior Application Engineer MathWorks Implementing MATLAB Algorithms in FPGAs and ASICs By Alexander Schreiber Senior Application Engineer MathWorks 2014 The MathWorks, Inc. 1 Traditional Implementation Workflow: Challenges Algorithm Development

More information

Agenda. How can we improve productivity? C++ Bit-accurate datatypes and modeling Using C++ for hardware design

Agenda. How can we improve productivity? C++ Bit-accurate datatypes and modeling Using C++ for hardware design Catapult C Synthesis High Level Synthesis Webinar Stuart Clubb Technical Marketing Engineer April 2009 Agenda How can we improve productivity? C++ Bit-accurate datatypes and modeling Using C++ for hardware

More information

Cadence SystemC Design and Verification. NMI FPGA Network Meeting Jan 21, 2015

Cadence SystemC Design and Verification. NMI FPGA Network Meeting Jan 21, 2015 Cadence SystemC Design and Verification NMI FPGA Network Meeting Jan 21, 2015 The High Level Synthesis Opportunity Raising Abstraction Improves Design & Verification Optimizes Power, Area and Timing for

More information

Vivado HLx Design Entry. June 2016

Vivado HLx Design Entry. June 2016 Vivado HLx Design Entry June 2016 Agenda What is the HLx Design Methodology? New & Early Access features for Connectivity Platforms Creating Differentiated Logic 2 What is the HLx Design Methodology? Page

More information

Basic HLS Tutorial. using C++ language and Vivado Design Suite to design two frequencies PWM. modulator system

Basic HLS Tutorial. using C++ language and Vivado Design Suite to design two frequencies PWM. modulator system Basic HLS Tutorial using C++ language and Vivado Design Suite to design two frequencies PWM modulator system August 22, 2018 Contents 1 INTRODUCTION........................................... 1 1.1 Motivation................................................

More information

Digital Signal Processing with Field Programmable Gate Arrays

Digital Signal Processing with Field Programmable Gate Arrays Uwe Meyer-Baese Digital Signal Processing with Field Programmable Gate Arrays Third Edition With 359 Figures and 98 Tables Book with CD-ROM ei Springer Contents Preface Preface to Second Edition Preface

More information

Optimization of Behavioral IPs in Multi-Processor System-on- Chips

Optimization of Behavioral IPs in Multi-Processor System-on- Chips Optimization of Behavioral IPs in Multi-Processor System-on- Chips Yidi Liu and Benjamin Carrion Schafer # Department of Electronic and Information Engineering b.carrionschafer@polyu.edu.hk # Outline High-Level

More information

The Application of Formal Technology on Fixed-Point Arithmetic SystemC Designs

The Application of Formal Technology on Fixed-Point Arithmetic SystemC Designs The Application of Formal Technology on Fixed-Point Arithmetic SystemC Designs Sven Beyer, OneSpin Solutions, Munich, Germany, sven.beyer@onespin-solutions.com Dominik Straßer, OneSpin Solutions, Munich,

More information

NEW FPGA DESIGN AND VERIFICATION TECHNIQUES MICHAL HUSEJKO IT-PES-ES

NEW FPGA DESIGN AND VERIFICATION TECHNIQUES MICHAL HUSEJKO IT-PES-ES NEW FPGA DESIGN AND VERIFICATION TECHNIQUES MICHAL HUSEJKO IT-PES-ES Design: Part 1 High Level Synthesis (Xilinx Vivado HLS) Part 2 SDSoC (Xilinx, HLS + ARM) Part 3 OpenCL (Altera OpenCL SDK) Verification:

More information

Modeling and implementation of dsp fpga solutions

Modeling and implementation of dsp fpga solutions See discussions, stats, and author profiles for this publication at: https://www.researchgate.net/publication/228877179 Modeling and implementation of dsp fpga solutions Article CITATIONS 9 READS 57 4

More information

STEPHEN WOLFRAM MATHEMATICADO. Fourth Edition WOLFRAM MEDIA CAMBRIDGE UNIVERSITY PRESS

STEPHEN WOLFRAM MATHEMATICADO. Fourth Edition WOLFRAM MEDIA CAMBRIDGE UNIVERSITY PRESS STEPHEN WOLFRAM MATHEMATICADO OO Fourth Edition WOLFRAM MEDIA CAMBRIDGE UNIVERSITY PRESS Table of Contents XXI a section new for Version 3 a section new for Version 4 a section substantially modified for

More information

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 3rd year engineering. Winter/Summer Training

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 3rd year engineering. Winter/Summer Training Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 3rd year engineering Winter/Summer Training Level 2 continues. 3 rd Year 4 th Year FIG-3 Level 1 (Basic & Mandatory) & Level 1.1 and

More information

SystemC Synthesis Standard: Which Topics for Next Round? Frederic Doucet Qualcomm Atheros, Inc

SystemC Synthesis Standard: Which Topics for Next Round? Frederic Doucet Qualcomm Atheros, Inc SystemC Synthesis Standard: Which Topics for Next Round? Frederic Doucet Qualcomm Atheros, Inc 2/29/2016 Frederic Doucet, Qualcomm Atheros, Inc 2 What to Standardize Next Benefit of current standard: Provides

More information

A SIMULINK-TO-FPGA MULTI-RATE HIERARCHICAL FIR FILTER DESIGN

A SIMULINK-TO-FPGA MULTI-RATE HIERARCHICAL FIR FILTER DESIGN A SIMULINK-TO-FPGA MULTI-RATE HIERARCHICAL FIR FILTER DESIGN Xiaoying Li 1 Fuming Sun 2 Enhua Wu 1, 3 1 University of Macau, Macao, China 2 University of Science and Technology Beijing, Beijing, China

More information

Proposal and Quantitative Analysis of the CHStone Benchmark Program Suite for Practical C-based High-level Synthesis

Proposal and Quantitative Analysis of the CHStone Benchmark Program Suite for Practical C-based High-level Synthesis Regular Paper Proposal and Quantitative Analysis of the CHStone Benchmark Program Suite for Practical C-based High-level Synthesis Yuko Hara, 1, 2 Hiroyuki Tomiyama, 1 Shinya Honda 1 and Hiroaki Takada

More information

Analysis of Radix- SDF Pipeline FFT Architecture in VLSI Using Chip Scope

Analysis of Radix- SDF Pipeline FFT Architecture in VLSI Using Chip Scope Analysis of Radix- SDF Pipeline FFT Architecture in VLSI Using Chip Scope G. Mohana Durga 1, D.V.R. Mohan 2 1 M.Tech Student, 2 Professor, Department of ECE, SRKR Engineering College, Bhimavaram, Andhra

More information

This material exempt per Department of Commerce license exception TSU. Coding Considerations

This material exempt per Department of Commerce license exception TSU. Coding Considerations This material exempt per Department of Commerce license exception TSU Considerations Outline Language Support Pointers Considerations and IO Streams Summary Comprehensive C Support A Complete C Validation

More information

Advanced Synthesis Techniques

Advanced Synthesis Techniques Advanced Synthesis Techniques Reminder From Last Year Use UltraFast Design Methodology for Vivado www.xilinx.com/ultrafast Recommendations for Rapid Closure HDL: use HDL Language Templates & DRC Constraints:

More information

Evaluating MMX Technology Using DSP and Multimedia Applications

Evaluating MMX Technology Using DSP and Multimedia Applications Evaluating MMX Technology Using DSP and Multimedia Applications Ravi Bhargava * Lizy K. John * Brian L. Evans Ramesh Radhakrishnan * November 22, 1999 The University of Texas at Austin Department of Electrical

More information

Introduction to High level. Synthesis

Introduction to High level. Synthesis Introduction to High level Synthesis LISHA/UFSC Prof. Dr. Antônio Augusto Fröhlich Tiago Rogério Mück http://www.lisha.ufsc.br/~guto June 2007 http://www.lisha.ufsc.br/ 1 What is HLS? Example: High level

More information

(Type your answer in radians. Round to the nearest hundredth as needed.)

(Type your answer in radians. Round to the nearest hundredth as needed.) 1. Find the exact value of the following expression within the interval (Simplify your answer. Type an exact answer, using as needed. Use integers or fractions for any numbers in the expression. Type N

More information

Reducing the cost of FPGA/ASIC Verification with MATLAB and Simulink

Reducing the cost of FPGA/ASIC Verification with MATLAB and Simulink Reducing the cost of FPGA/ASIC Verification with MATLAB and Simulink Graham Reith Industry Manager Communications, Electronics and Semiconductors MathWorks Graham.Reith@mathworks.co.uk 2015 The MathWorks,

More information

Early Models in Silicon with SystemC synthesis

Early Models in Silicon with SystemC synthesis Early Models in Silicon with SystemC synthesis Agility Compiler summary C-based design & synthesis for SystemC Pure, standard compliant SystemC/ C++ Most widely used C-synthesis technology Structural SystemC

More information

Using SystemC for Hardware Design Comparison of results with VHDL, Cossap and CoCentric

Using SystemC for Hardware Design Comparison of results with VHDL, Cossap and CoCentric Comparison of results with VHDL, Cossap and CoCentric Mario Steinert, Steffen Buch, CPD AA, Infineon Technologies AG, David Slogsnat, University of Mannheim mario.steinert@infineon.com ABSTRACT This paper

More information

Improving Area and Resource Utilization Lab

Improving Area and Resource Utilization Lab Lab Workbook Introduction This lab introduces various techniques and directives which can be used in Vivado HLS to improve design performance as well as area and resource utilization. The design under

More information

Design and Verification of FPGA and ASIC Applications Graham Reith MathWorks

Design and Verification of FPGA and ASIC Applications Graham Reith MathWorks Design and Verification of FPGA and ASIC Applications Graham Reith MathWorks 2014 The MathWorks, Inc. 1 Agenda -Based Design for FPGA and ASIC Generating HDL Code from MATLAB and Simulink For prototyping

More information

EEMBC FPMARK THE EMBEDDED INDUSTRY S FIRST STANDARDIZED FLOATING-POINT BENCHMARK SUITE

EEMBC FPMARK THE EMBEDDED INDUSTRY S FIRST STANDARDIZED FLOATING-POINT BENCHMARK SUITE EEMBC FPMARK THE EMBEDDED INDUSTRY S FIRST STANDARDIZED FLOATING-POINT BENCHMARK SUITE Supporting Both Single- and Double-Precision Floating-Point Performance Quick Background: Industry-Standard Benchmarks

More information

XQ: An XML Query Language Language Reference Manual

XQ: An XML Query Language Language Reference Manual XQ: An XML Query Language Language Reference Manual Kin Ng kn2006@columbia.edu 1. Introduction XQ is a query language for XML documents. This language enables programmers to express queries in a few simple

More information

Modular SystemC. In-house Training Options. For further information contact your local Doulos Sales Office.

Modular SystemC. In-house Training Options. For further information contact your local Doulos Sales Office. Modular SystemC is a set of modules related to SystemC TM (IEEE 1666-2005) aimed at fulfilling teambased training requirements for engineers from a range of technical backgrounds, i.e. hardware and software

More information

Simulink Design Environment

Simulink Design Environment EE219A Spring 2008 Special Topics in Circuits and Signal Processing Lecture 4 Simulink Design Environment Dejan Markovic dejan@ee.ucla.edu Announcements Class wiki Material being constantly updated Please

More information

ISim Hardware Co-Simulation Tutorial: Accelerating Floating Point FFT Simulation

ISim Hardware Co-Simulation Tutorial: Accelerating Floating Point FFT Simulation ISim Hardware Co-Simulation Tutorial: Accelerating Floating Point FFT Simulation UG817 (v13.3) November 11, 2011 Xilinx is disclosing this user guide, manual, release note, and/or specification (the Documentation

More information

24K FFT for 3GPP LTE RACH Detection

24K FFT for 3GPP LTE RACH Detection 24K FFT for GPP LTE RACH Detection ovember 2008, version 1.0 Application ote 515 Introduction In GPP Long Term Evolution (LTE), the user equipment (UE) transmits a random access channel (RACH) on the uplink

More information

University of Massachusetts Amherst Department of Electrical & Computer Engineering

University of Massachusetts Amherst Department of Electrical & Computer Engineering University of Massachusetts Amherst Department of Electrical & Computer Engineering ECE 696 Independent Study Fall 2005 Final Report Title: Efficient RTL Synthesis of DSP Algorithms Supervisor: Prof. Maciej

More information

Efficient Hardware Acceleration on SoC- FPGA using OpenCL

Efficient Hardware Acceleration on SoC- FPGA using OpenCL Efficient Hardware Acceleration on SoC- FPGA using OpenCL Advisor : Dr. Benjamin Carrion Schafer Susmitha Gogineni 30 th August 17 Presentation Overview 1.Objective & Motivation 2.Configurable SoC -FPGA

More information

Parallel FIR Filters. Chapter 5

Parallel FIR Filters. Chapter 5 Chapter 5 Parallel FIR Filters This chapter describes the implementation of high-performance, parallel, full-precision FIR filters using the DSP48 slice in a Virtex-4 device. ecause the Virtex-4 architecture

More information

High Level Abstractions for Implementation of Software Radios

High Level Abstractions for Implementation of Software Radios High Level Abstractions for Implementation of Software Radios J. B. Evans, Ed Komp, S. G. Mathen, and G. Minden Information and Telecommunication Technology Center University of Kansas, Lawrence, KS 66044-7541

More information

Core Facts. Documentation Design File Formats. Verification Instantiation Templates Reference Designs & Application Notes Additional Items

Core Facts. Documentation Design File Formats. Verification Instantiation Templates Reference Designs & Application Notes Additional Items (FFT_PIPE) Product Specification Dillon Engineering, Inc. 4974 Lincoln Drive Edina, MN USA, 55436 Phone: 952.836.2413 Fax: 952.927.6514 E mail: info@dilloneng.com URL: www.dilloneng.com Core Facts Documentation

More information

All MSEE students are required to take the following two core courses: Linear systems Probability and Random Processes

All MSEE students are required to take the following two core courses: Linear systems Probability and Random Processes MSEE Curriculum All MSEE students are required to take the following two core courses: 3531-571 Linear systems 3531-507 Probability and Random Processes The course requirements for students majoring in

More information

ENHANCED TOOLS FOR RISC-V PROCESSOR DEVELOPMENT

ENHANCED TOOLS FOR RISC-V PROCESSOR DEVELOPMENT ENHANCED TOOLS FOR RISC-V PROCESSOR DEVELOPMENT THE FREE AND OPEN RISC INSTRUCTION SET ARCHITECTURE Codasip is the leading provider of RISC-V processor IP Codasip Bk: A portfolio of RISC-V processors Uniquely

More information

DA-FIR Filter Generator User s Guide

DA-FIR Filter Generator User s Guide DA-FIR Filter Generator User s Guide August 2010 IPUG58_01.6 Table of Contents Chapter 1. Introduction... 4 Quick Facts... 4 Features... 5 Chapter 2. Functional Description... 6 General Description...

More information

Measuring Improvement When Using HUB Formats to Implement Floating-Point Systems under Round-to- Nearest

Measuring Improvement When Using HUB Formats to Implement Floating-Point Systems under Round-to- Nearest Measuring Improvement When Using HUB Formats to Implement Floating-Point Systems under Round-to- Nearest Abstract: This paper analyzes the benefits of using half-unitbiased (HUB) formats to implement floatingpoint

More information

Head, Dept of Electronics & Communication National Institute of Technology Karnataka, Surathkal, India

Head, Dept of Electronics & Communication National Institute of Technology Karnataka, Surathkal, India Mapping Signal Processing Algorithms to Architecture Sumam David S Head, Dept of Electronics & Communication National Institute of Technology Karnataka, Surathkal, India sumam@ieee.org Objectives At the

More information

ECE 699: Lecture 12. Introduction to High-Level Synthesis

ECE 699: Lecture 12. Introduction to High-Level Synthesis ECE 699: Lecture 12 Introduction to High-Level Synthesis Required Reading The ZYNQ Book Chapter 14: Spotlight on High-Level Synthesis Chapter 15: Vivado HLS: A Closer Look S. Neuendorffer and F. Martinez-Vallina,

More information

A New Design Methodology for Composing Complex Digital Systems

A New Design Methodology for Composing Complex Digital Systems A New Design Methodology for Composing Complex Digital Systems S. L. Chu* 1, M. J. Lo 2 1,2 Department of Information and Computer Engineering Chung Yuan Christian University Chung Li, 32023, Taiwan *slchu@cycu.edu.tw

More information

ECC1 Core. Elliptic Curve Point Multiply and Verify Core. General Description. Key Features. Applications. Symbol

ECC1 Core. Elliptic Curve Point Multiply and Verify Core. General Description. Key Features. Applications. Symbol General Description Key Features Elliptic Curve Cryptography (ECC) is a public-key cryptographic technology that uses the mathematics of so called elliptic curves and it is a part of the Suite B of cryptographic

More information

A New Electronic System Level Methodology for Complex Chip Designs

A New Electronic System Level Methodology for Complex Chip Designs A New Electronic System Level Methodology for Complex Chip Designs Chad Spackman President, Co-Founder 1 Copyright 2006. All rights reserved. We are an EDA Tool Company: C2R Compiler, Inc. General purpose

More information

Pipelined Quadratic Equation based Novel Multiplication Method for Cryptographic Applications

Pipelined Quadratic Equation based Novel Multiplication Method for Cryptographic Applications , Vol 7(4S), 34 39, April 204 ISSN (Print): 0974-6846 ISSN (Online) : 0974-5645 Pipelined Quadratic Equation based Novel Multiplication Method for Cryptographic Applications B. Vignesh *, K. P. Sridhar

More information

Storage I/O Summary. Lecture 16: Multimedia and DSP Architectures

Storage I/O Summary. Lecture 16: Multimedia and DSP Architectures Storage I/O Summary Storage devices Storage I/O Performance Measures» Throughput» Response time I/O Benchmarks» Scaling to track technological change» Throughput with restricted response time is normal

More information

REAL-TIME DIGITAL SIGNAL PROCESSING

REAL-TIME DIGITAL SIGNAL PROCESSING REAL-TIME DIGITAL SIGNAL PROCESSING FUNDAMENTALS, IMPLEMENTATIONS AND APPLICATIONS Third Edition Sen M. Kuo Northern Illinois University, USA Bob H. Lee Ittiam Systems, Inc., USA Wenshun Tian Sonus Networks,

More information

Dossis: High-level Synthesis Integrated Verification

Dossis: High-level Synthesis Integrated Verification Engineering, Technology & Applied Science Research Vol. 5, No. 5, 2015, 864-870 864 High-level Synthesis Integrated Verification Michael F. Dossis Dept. of Informatics Engineering TEI of Western Macedonia

More information

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany 2013 The MathWorks, Inc. 1 Agenda Model-Based Design of embedded Systems Software Implementation

More information

Accelerating FPGA/ASIC Design and Verification

Accelerating FPGA/ASIC Design and Verification Accelerating FPGA/ASIC Design and Verification Tabrez Khan Senior Application Engineer Vidya Viswanathan Application Engineer 2015 The MathWorks, Inc. 1 Agenda Challeges with Traditional Implementation

More information

Abstract State Machines as an Intermediate Representation for High-level Synthesis

Abstract State Machines as an Intermediate Representation for High-level Synthesis Abstract State Machines as an Intermediate Representation for High-level Synthesis Rohit Sinha Electrical and Computer Engineering University of Waterloo Waterloo, Canada rsinha@uwaterloo.ca Hiren D. Patel

More information

Parallel Programming for FPGAs. Ryan Kastner and Stephen Neuendorffer

Parallel Programming for FPGAs. Ryan Kastner and Stephen Neuendorffer Parallel Programming for FPGAs Ryan Kastner and Stephen Neuendorffer Sunday 31 st July, 2016 When someone says, I want a programming language in which I need only say what I wish done, give him a lollipop.

More information

Code Generation for TMS320C6x in Ptolemy

Code Generation for TMS320C6x in Ptolemy Code Generation for TMS320C6x in Ptolemy Sresth Kumar, Vikram Sardesai and Hamid Rahim Sheikh EE382C-9 Embedded Software Systems Spring 2000 Abstract Most Electronic Design Automation (EDA) tool vendors

More information

Designing a Hardware in the Loop Wireless Digital Channel Emulator for Software Defined Radio

Designing a Hardware in the Loop Wireless Digital Channel Emulator for Software Defined Radio Designing a Hardware in the Loop Wireless Digital Channel Emulator for Software Defined Radio Janarbek Matai, Pingfan Meng, Lingjuan Wu, Brad Weals, and Ryan Kastner Department of Computer Science and

More information

Core Facts. Documentation Design File Formats. Verification Instantiation Templates Reference Designs & Application Notes Additional Items

Core Facts. Documentation Design File Formats. Verification Instantiation Templates Reference Designs & Application Notes Additional Items (FFT_MIXED) November 26, 2008 Product Specification Dillon Engineering, Inc. 4974 Lincoln Drive Edina, MN USA, 55436 Phone: 952.836.2413 Fax: 952.927.6514 E mail: info@dilloneng.com URL: www.dilloneng.com

More information

AccelDSP tutorial 2 (Matlab.m to HDL for Xilinx) Ronak Gandhi Syracuse University Fall

AccelDSP tutorial 2 (Matlab.m to HDL for Xilinx) Ronak Gandhi Syracuse University Fall AccelDSP tutorial 2 (Matlab.m to HDL for Xilinx) Ronak Gandhi Syracuse University Fall 2009-10 AccelDSP Getting Started Tutorial Introduction This tutorial exercise will guide you through the process of

More information

Modeling Algorithms in SystemC and ACL2. John O Leary, David Russinoff Intel Corporation

Modeling Algorithms in SystemC and ACL2. John O Leary, David Russinoff Intel Corporation Modeling Algorithms in SystemC and ACL2 John O Leary, David Russinoff Intel Corporation Algorithm Design Architects Designers? RTL DC PrimeTime Forte Jasper Gold t t+6 t+9 A recent experience A design

More information

CS 6456 OBJCET ORIENTED PROGRAMMING IV SEMESTER/EEE

CS 6456 OBJCET ORIENTED PROGRAMMING IV SEMESTER/EEE CS 6456 OBJCET ORIENTED PROGRAMMING IV SEMESTER/EEE PART A UNIT I 1. Differentiate object oriented programming from procedure oriented programming. 2. Define abstraction and encapsulation. 3. Differentiate

More information

ISim Hardware Co-Simulation Tutorial: Accelerating Floating Point FFT Simulation

ISim Hardware Co-Simulation Tutorial: Accelerating Floating Point FFT Simulation ISim Hardware Co-Simulation Tutorial: Accelerating Floating Point FFT Simulation UG817 (v 14.3) October 16, 2012 This tutorial document was last validated using the following software version: ISE Design

More information

Modeling a 4G LTE System in MATLAB

Modeling a 4G LTE System in MATLAB Modeling a 4G LTE System in MATLAB Part 3: Path to implementation (C and HDL) Houman Zarrinkoub PhD. Signal Processing Product Manager MathWorks houmanz@mathworks.com 2011 The MathWorks, Inc. 1 LTE Downlink

More information

AC : INCORPORATING SYSTEM-LEVEL DESIGN TOOLS INTO UPPER-LEVEL DIGITAL DESIGN AND CAPSTONE COURSES

AC : INCORPORATING SYSTEM-LEVEL DESIGN TOOLS INTO UPPER-LEVEL DIGITAL DESIGN AND CAPSTONE COURSES AC 2007-2290: ICORPORATIG SYSTEM-LEVEL DESIG TOOLS ITO UPPER-LEVEL DIGITAL DESIG AD CAPSTOE COURSES Wagdy Mahmoud, University of the District of Columbia IEEE Senior Member American Society for Engineering

More information

Design and Verification of FPGA Applications

Design and Verification of FPGA Applications Design and Verification of FPGA Applications Giuseppe Ridinò Paola Vallauri MathWorks giuseppe.ridino@mathworks.it paola.vallauri@mathworks.it Torino, 19 Maggio 2016, INAF 2016 The MathWorks, Inc. 1 Agenda

More information

DIGITAL VS. ANALOG SIGNAL PROCESSING Digital signal processing (DSP) characterized by: OUTLINE APPLICATIONS OF DIGITAL SIGNAL PROCESSING

DIGITAL VS. ANALOG SIGNAL PROCESSING Digital signal processing (DSP) characterized by: OUTLINE APPLICATIONS OF DIGITAL SIGNAL PROCESSING 1 DSP applications DSP platforms The synthesis problem Models of computation OUTLINE 2 DIGITAL VS. ANALOG SIGNAL PROCESSING Digital signal processing (DSP) characterized by: Time-discrete representation

More information

Indian Silicon Technologies 2013

Indian Silicon Technologies 2013 SI.No Topics IEEE YEAR 1. An RFID Based Solution for Real-Time Patient Surveillance and data Processing Bio- Metric System using FPGA 2. Real-time Binary Shape Matching System Based on FPGA 3. An Optimized

More information

Lecture 12: Algorithmic Strength 2 Reduction in Filters and Transforms Saeid Nooshabadi

Lecture 12: Algorithmic Strength 2 Reduction in Filters and Transforms Saeid Nooshabadi Multimedia Systems Lecture 12: Algorithmic Strength 2 Reduction in Filters and Transforms Saeid Nooshabadi Overview Cascading of Fast FIR Filter Algorithms (FFA) Discrete Cosine Transform and Inverse DCT

More information

TeleBench 1.1. software benchmark data book.

TeleBench 1.1. software benchmark data book. TeleBench 1.1 software benchmark data book Table of Contents Autocorrelation...2 Bit Allocation...4 Convolutional Encoder...6 Fast Fourier Transform (FFT)...8 Viterbi Decoder... 11 1 TeleBench Version

More information

VHDL IMPLEMENTATION OF IEEE 754 FLOATING POINT UNIT

VHDL IMPLEMENTATION OF IEEE 754 FLOATING POINT UNIT VHDL IMPLEMENTATION OF IEEE 754 FLOATING POINT UNIT Ms. Anjana Sasidharan Student, Vivekanandha College of Engineering for Women, Namakkal, Tamilnadu, India. Abstract IEEE-754 specifies interchange and

More information

Connecting MATLAB & Simulink with your SystemVerilog Workflow for Functional Verification

Connecting MATLAB & Simulink with your SystemVerilog Workflow for Functional Verification Connecting MATLAB & Simulink with your SystemVerilog Workflow for Functional Verification Corey Mathis Industry Marketing Manager Communications, Electronics, and Semiconductors MathWorks 2014 MathWorks,

More information

Lab 1: CORDIC Design Due Friday, September 8, 2017, 11:59pm

Lab 1: CORDIC Design Due Friday, September 8, 2017, 11:59pm ECE5775 High-Level Digital Design Automation, Fall 2017 School of Electrical Computer Engineering, Cornell University Lab 1: CORDIC Design Due Friday, September 8, 2017, 11:59pm 1 Introduction COordinate

More information

Digital Design Using VHDL Using Xilinx s Tool for Synthesis and ModelSim for Verification

Digital Design Using VHDL Using Xilinx s Tool for Synthesis and ModelSim for Verification Digital Design Using VHDL Using Xilinx s Tool for Synthesis and ModelSim for Verification Ahmed Abu-Hajar, Ph.D. abuhajar@digitavid.net Digitavid, Inc San Jose, CA Session One Outline Introducing VHDL

More information

ESL design with the Agility Compiler for SystemC

ESL design with the Agility Compiler for SystemC ESL design with the Agility Compiler for SystemC SystemC behavioral design & synthesis Steve Chappell & Chris Sullivan Celoxica ESL design portfolio Complete ESL design environment Streaming Video Processing

More information

High Level Synthesis for Design of Video Processing Blocks

High Level Synthesis for Design of Video Processing Blocks Master s Thesis High Level Synthesis for Design of Video Processing Blocks Ayla Chabouk Carlos Gómez Department of Electrical and Information Technology, Faculty of Engineering, LTH, Lund University, March

More information

AES1. Ultra-Compact Advanced Encryption Standard Core AES1. General Description. Base Core Features. Symbol. Applications

AES1. Ultra-Compact Advanced Encryption Standard Core AES1. General Description. Base Core Features. Symbol. Applications General Description The AES core implements Rijndael encoding and decoding in compliance with the NIST Advanced Encryption Standard. Basic core is very small (less than 3,000 gates). Enhanced versions

More information

Hardware Implementation of Cryptosystem by AES Algorithm Using FPGA

Hardware Implementation of Cryptosystem by AES Algorithm Using FPGA Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology ISSN 2320 088X IMPACT FACTOR: 6.017 IJCSMC,

More information

MetaRTL: Raising the Abstraction Level of RTL Design

MetaRTL: Raising the Abstraction Level of RTL Design MetaRTL: Raising the Abstraction Level of RTL Design Jianwen Zhu Electrical and Computer Engineering University of Toronto March 16, 2001 zhu@eecg.toronto.edu http://www.eecg.toronto.edu/ zhu DATE 2001,

More information

Algorithmic C synthesis (High-level synthesis)

Algorithmic C synthesis (High-level synthesis) Algorithmic C synthesis (High-level synthesis) Reminder System level design The complexity of digital systems grows exponentially because of technological improvements, and user demands. The design entries

More information

Design and Implementation of 3-D DWT for Video Processing Applications

Design and Implementation of 3-D DWT for Video Processing Applications Design and Implementation of 3-D DWT for Video Processing Applications P. Mohaniah 1, P. Sathyanarayana 2, A. S. Ram Kumar Reddy 3 & A. Vijayalakshmi 4 1 E.C.E, N.B.K.R.IST, Vidyanagar, 2 E.C.E, S.V University

More information

Introducing the Superscalar Version 5 ColdFire Core

Introducing the Superscalar Version 5 ColdFire Core Introducing the Superscalar Version 5 ColdFire Core Microprocessor Forum October 16, 2002 Joe Circello Chief ColdFire Architect Motorola Semiconductor Products Sector Joe Circello, Chief ColdFire Architect

More information

LWA DRX C Language Simulation Report - v0.1

LWA DRX C Language Simulation Report - v0.1 LWA DRX C Language Simulation Report - v0.1 Johnathan York (ARL:UT) February 1, 2008 1 Introduction This document contains a short report on the Long Wavelength Array (LWA) Digital Receiver (DRX) C simulator

More information

ISSN Vol.02, Issue.11, December-2014, Pages:

ISSN Vol.02, Issue.11, December-2014, Pages: ISSN 2322-0929 Vol.02, Issue.11, December-2014, Pages:1208-1212 www.ijvdcs.org Implementation of Area Optimized Floating Point Unit using Verilog G.RAJA SEKHAR 1, M.SRIHARI 2 1 PG Scholar, Dept of ECE,

More information

Introduction to C and HDL Code Generation from MATLAB

Introduction to C and HDL Code Generation from MATLAB Introduction to C and HDL Code Generation from MATLAB 이웅재차장 Senior Application Engineer 2012 The MathWorks, Inc. 1 Algorithm Development Process Requirements Research & Design Explore and discover Design

More information

An Implementation of the AES cipher using HLS

An Implementation of the AES cipher using HLS 2013 III Brazilian Symposium on Computing Systems Engineering An Implementation of the AES cipher using HLS Rodrigo Schmitt Meurer Tiago Rogério Mück Antônio Augusto Fröhlich Software/Hardware Integration

More information

FIR Compiler MegaCore Function User Guide

FIR Compiler MegaCore Function User Guide FIR Compiler MegaCore Function User Guide 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com Operations Part Number MegaCore Function Version: 3.3.1 Document Version: 3.3.1 rev 2 Document

More information

Implementation of a FPGA-Based Feature Detection and Networking System for Real-time Traffic Monitoring

Implementation of a FPGA-Based Feature Detection and Networking System for Real-time Traffic Monitoring Implementation of a FPGA-Based Feature Detection and Networking System for Real-time Traffic Monitoring Jieshi Chen, Benjamin Carrion Schafer, Ivan Wang-Hei Ho Department of Electronic and Information

More information

An efficient multiplierless approximation of the fast Fourier transform using sum-of-powers-of-two (SOPOT) coefficients

An efficient multiplierless approximation of the fast Fourier transform using sum-of-powers-of-two (SOPOT) coefficients Title An efficient multiplierless approximation of the fast Fourier transm using sum-of-powers-of-two (SOPOT) coefficients Author(s) Chan, SC; Yiu, PM Citation Ieee Signal Processing Letters, 2002, v.

More information

CHAPTER 4. DIGITAL DOWNCONVERTER FOR WiMAX SYSTEM

CHAPTER 4. DIGITAL DOWNCONVERTER FOR WiMAX SYSTEM CHAPTER 4 IMPLEMENTATION OF DIGITAL UPCONVERTER AND DIGITAL DOWNCONVERTER FOR WiMAX SYSTEM 4.1 Introduction FPGAs provide an ideal implementation platform for developing broadband wireless systems such

More information

Fast Fourier Transform IP Core v1.0 Block Floating-Point Streaming Radix-2 Architecture. Introduction. Features. Data Sheet. IPC0002 October 2014

Fast Fourier Transform IP Core v1.0 Block Floating-Point Streaming Radix-2 Architecture. Introduction. Features. Data Sheet. IPC0002 October 2014 Introduction The FFT/IFFT IP core is a highly configurable Fast Fourier Transform (FFT) and Inverse Fast Fourier Transform (IFFT) VHDL IP component. The core performs an N-point complex forward or inverse

More information

Xilinx System Generator v Xilinx Blockset Reference Guide. for Simulink. Introduction. Xilinx Blockset Overview.

Xilinx System Generator v Xilinx Blockset Reference Guide. for Simulink. Introduction. Xilinx Blockset Overview. Xilinx System Generator v1.0.1 for Simulink Introduction Xilinx Blockset Overview Blockset Elements Xilinx Blockset Reference Guide Printed in U.S.A. Xilinx System Generator v1.0.1 Reference Guide About

More information

Speaker: Shao-Wei Feng Adviser: Prof. An-Yeu Wu Date: 2010/09/28

Speaker: Shao-Wei Feng Adviser: Prof. An-Yeu Wu Date: 2010/09/28 99-1 Under-Graduate Project Verilog Simulation & Debugging Tools Speaker: Shao-Wei Feng Adviser: Prof. An-Yeu Wu Date: 2010/09/28 ACCESS IC LAB Outline Basic Concept of Verilog HDL Gate Level Modeling

More information

HECTOR: Formal System-Level to RTL Equivalence Checking

HECTOR: Formal System-Level to RTL Equivalence Checking ATG SoC HECTOR: Formal System-Level to RTL Equivalence Checking Alfred Koelbl, Sergey Berezin, Reily Jacoby, Jerry Burch, William Nicholls, Carl Pixley Advanced Technology Group Synopsys, Inc. June 2008

More information

AVR32765: AVR32 DSPLib Reference Manual. 32-bit Microcontrollers. Application Note. 1 Introduction. 2 Reference

AVR32765: AVR32 DSPLib Reference Manual. 32-bit Microcontrollers. Application Note. 1 Introduction. 2 Reference AVR32765: AVR32 DSPLib Reference Manual 1 Introduction The AVR 32 DSP Library is a compilation of digital signal processing functions. All function availables in the DSP Library, from the AVR32 Software

More information