University of Massachusetts Amherst Department of Electrical & Computer Engineering

Size: px
Start display at page:

Download "University of Massachusetts Amherst Department of Electrical & Computer Engineering"

Transcription

1 University of Massachusetts Amherst Department of Electrical & Computer Engineering ECE 696 Independent Study Fall 2005 Final Report Title: Efficient RTL Synthesis of DSP Algorithms Supervisor: Prof. Maciej Ciesielski Submitted by, Tariq Bashir Ahmad. ID:

2 1. Introduction Hardware design starts with initial specifications and culminates with the hardware implementation. All this is a continuous process, that means refining specifications, algorithms and hardware details until implementation is in accordance with specifications. 1.a. Conventional DSP Hardware Design Flow Figure 2 shows a general form of a typical DSP hardware design flow. DSP design has traditionally been divided into two types of activities systems/algorithm development and hardware/software implementation. These tasks have been accomplished by two different groups of engineers that often have little connection or interaction. As a result the transition from system level to implementation level is not seamless. Figure 1: Conventional DSP hardware design flow 2

3 The flow originates with algorithm developers and system engineers. Algorithm developers create, analyze and refine the required DSP algorithms using mathematical analysis tools at the behavioral level, often without consideration for the underlying system architecture or hardware implementation details. The system designer is concerned with defining the functionality and architecture of the design to adhere to the product specification and interface standards. According to market research firm Forward Concepts as well as reports in FPGA and Programmable Logic Journal, the majority of DSP system designers and algorithm developers use the MATLAB language from The MathWorks. In contrast, hardware designers take the specifications created by the systems engineers and algorithm developers and are tasked to create a physical implementation of the DSP design. If the target of the DSP algorithm is an FPGA, structured ASIC, ASIC or SOC, the first task is to create a register transfer level (RTL) model in a hardware description language (HDL) such as Verilog or VHDL. The hardware designer must have a sufficient understanding of communications theory and signal processing to be able to interpret the written specification provided by the systems engineer. The process of creating an RTL model and a simulation testbench usually takes many months because of the need to verify that the manually created RTL file exactly matches the MATLAB model. Once the RTL model and simulation environment is created, the hardware designer interacts with the systems engineers and algorithm developers to analyze the performance, area and functionality of the hardware realization of the DSP system. It is quite common for the original algorithms and system architecture to be modified because the systems engineers had no visibility into the physical design domain during the algorithm development. The iteration process continues refine the algorithms and system architecture, update the written specification, modify the RTL models and testbenches, and resimulate until the DSP system requirements are met by the hardware realization. The design flow then continues with a standard FPGA and/or ASIC top-down design flow using logic synthesis, and ultimately physical design tools to place and route the netlist in a given FPGA or ASIC device. 1.b. New DSP Hardware Design Flow Figure 2 shows the new DSP hardware design flow. In the rest of the report, we shall be discussing the advantages of the new approach. The figure has several aspects to discuss. First, there is no real need of two different groups to achieve the DSP design. One group can do this. The first step is the same as the first step in conventional DSP design. This step is often called floating-point simulation of algorithm. Once the algorithm has been verified at behavioral level such as in MATLAB, the algorithm can be mapped as it is to vendor dependent representation. If the target hardware is a XILINX FPGA, e XILINX System 3

4 Generator Blockset for SIMULINK can be used to represent algorithm. On the other hand, if the target hardware is an ALTERA CPLD/FPGA, ALTERA DSP Builder Blockset for SIMULINK can be used to represent algorithm. Once it is done, algorithm can be simulated in fixed point and see if the fixed-point simulation is close to the floating-point simulation done previously. You keep changing bit lengths until fixed-point simulation results match floating-point simulation results. Now the algorithm is ready to go into RTL. XILINX System Generator, ALTERA DSP Builder and SYNPLIFY DSP Blocksets make it easy to convert algorithm to RTL by a single click. So, using vendor Blocksets for target FPGA eliminates the need of manual and time-consuming RTL translation. Once this translation is done, HDL simulation can be done using tools like Mentor Graphics ModelSim. It is important to realize that throughout results will be compared against initial floating-point simulation, which serves as a golden reference. Once it is done successfully, algorithm can be synthesized using various tools as described in figure 2. Once it is done, implementation and verification can be done on target FPGA. The vendor s Blocksets also make it possible to perform Hardware in the loop simulation (HIL). This means performing real time verification of algorithm after it has been implemented on FPGA against golden reference. Figure 2: New DSP hardware design flow 4

5 So to conclude, new approach to DSP design is quick, less error prone and efficient. 2. Analysis of new approach to DSP Design flow The new approach aims at providing efficiency in terms of a) Time, i.e. the time to go from algorithm to implementation is quicker than the old approach. b) Hardware resources, i.e., optimization to achieve timing and area constraints. c) Less errors are introduced and integration is seamless Figure 3 illustrates the above points. New approach to DSP design Versus Old approach to DSP design Figure 3: comparison of old and new DSP design approaches 5

6 Figure 3 illustrates that old DSP design approach had a wall of abstraction between algorithmic and implementation level. The new approach has bridged this gap by tightly integrating the two at a behavioral level. 2.a. How this is done? Two decades ago, hardware engineers captured their designs manually or as hierarchical gate-level schematics. As electronic systems became larger and more complex, visualizing, capturing, exploring, understanding, and debugging designs at this level of abstraction became increasingly difficult, resource-intensive, time-consuming, and inefficient. The solution at that time was to move to a higher level of abstraction in the form of HDLs, which could be used to specify designs in RTL. These representations were much more concise, they could be more easily captured and understood, and they simulated much faster. The problem was to bridge the gap between the abstract RTL and the implementation-level netlist. Thus, the real boost to productivity came when the RTL representations were used in conjunction with logic synthesis technology. When provided with information as to implementation-level requirements such as timing and area utilization, the synthesis engine could rapidly explore a tremendous number of different implementation alternatives and perform appropriate optimizations to ensure that the design met its objectives (See Figure 4). Figure 4 : Logic Synthesis that was introduced in 80 s Today s DSP designers are faced with a similar situation. They have the ability to capture, simulate, and analyze their algorithms at a high level of abstraction using SIMULINK. Thus far, however, there has been no automated way to quickly and easily migrate these designs to the implementation level. The answer is true DSP synthesis (a term coined by SYNPLICITY INC in their white paper describing their solution to large DSP designs) in the form of Synplify DSP or Xilinx System Generator or Altera DSP Builder (See Figure 5). 6

7 Figure 5: DSP Synthesis which is introduced now The essence is to design DSP like general-purpose hardware design using FPGAs rather than using DSP from Texas Instruments to implement the design. The debate, which is better than the other, will continue. 3. Model-Based Design with SIMULINK Figure 6 introduces a new term model-based design coined by MATHWORKS INC. It is based upon the fact that SIMULINK models or Blocksets, ones that come as default from MATHWORKS as well as ones that are provided by vendors like XILINX, ALTERA or SYNPLICITY help achieve hardware design flow. The figure shows hardware design flow starting from algorithm level. MATHWORKS has used the term executable specification for floating point simulation of algorithm or golden reference. The reason is the concreteness of specification as apposed to handwritten specification. So starting from executable specifications till the hardware implementation, there are SIMULINK blocks available to make the design flow automated, seamless and abstract. It is complete because verification, testing and debugging are also incorporated. Figure 6 : Model-based design with SIMULINK 7

8 Figure 7 shows advantages of model-based design, which makes it easier to capture large designs in simple steps without any hassles of debugging or manual work. Figure 7: Advantages of Model-Based Design Figure 8 shows values of various metrics when applied to model-based design. The figure shows the success of model-based design in terms of innovation, quality, cost and time to market. Figure 8 : The value of Model Based Design Figure 8: Value of model-based design 8

9 Figure 9 summarizes model-based design. The first term that needs some explanation is bit true modeling, which means fixed point results agree with floating point results or golden reference. The second is cycle accurate modeling, which means results agree at all time instants with the golden reference. Figure 9: Summary of Model Based Design 4. A note about FPGAS versus DSPS As mentioned earlier, Digital signal processors are the main competitors of FPGAs for DSP designs. Both have pros and cons that make one suitable over the other depending upon your design specifications. DSPs Facing tough time due to enhanced CPUS Costly as it is IP Serial processing Power hungry Limited customization Integrated with MATLAB Mature and easy to design because of rich libraries and templates FPGAs Gaining in popularity and applications Cheap Parallel processing Also consumes power Unlimited customization Also integrated with MATLAB Evolving and more features are being added So to conclude, when the cost and power are not the main factors, DSPs are preferable because DSP technology is more mature and they are easy to design. Further, as more 9

10 and more signal processing applications come to the picture, DSPs are the first choice for implementation. FPGAs are the second choice for new signal processing applications as they are still evolving in terms of their signal processing capabilities. But in future, the competition between FPGAs and DSPs will be neck to neck. 5. Application: Design of 12 tap FIR low pass 140 MHz using Synplify DSP and Synthesis with Synplify Pro Specifications: Response Type = Low pass Design Method = FIR Filter Order = Minimum order Wpassband = 0.1*pi Wstopband = 0.5*pi Errorpassband = 0.1 Errorstopband = Design Flow: Figure 10: Design flow of typical application (in this case FIR design) 10

11 We shall apply two sinusoids of two different frequencies to the filter. The filer will pass one sinusoid frequency and will suppress the other. Since Sample Rate fs is 140 MHz, the highest frequency that Filter can handle without aliasing is fs/2 = 70MHz Sinusoid is of the form: sin(2*pi*fs/2*t) in time domain Sine1 is generated like: sin(2*pi*fs/2*0.05*t)=sin(2*pi*3.5e6*t) Sine2 is generated like: sin(2*pi*fs/2*0.95*t)=sin(2*pi*66.5e6*t) FIR Filter Specifications are to pass frequencies up to 0.1*fs/2 = 7MHz and stop from 0.2*fs/2 = 14MHz 5.a. Design Capture Figure 11 shows FDA tool (filter design and analysis tool) in MATLAB. The tool can be used to design various filter kinds according to the specifications. Here it is being used to design FIR filter according to the specifications described above. Once the specifications are entered and design filter button is pressed, MATLAB computes the filter coefficients while keeping the number of coefficients minimum (here 12). The figure also shows the magnitude response of the FIR filter. Figure 11: Filter Design using FDA tool in MATLAB 11

12 Figure 12 shows the 12 filter coefficients of FIR filter. Note the symmetry of filter coefficients, which is an important property of FIR filters with linear phase [4]. This property can be exploited in that the number of multiply and accumulate operations to describe the filter are reduced by half. In other words, half of the filter coefficients are useful while the magnitude of the other half of the coefficients is same as the first half. Figure 12: Filter coefficients (Note Symmetry of filter coefficients) It is observed that filter coefficients are symmetric or anti-symmetric if filter is FIR linear phase filter of type I, type II, type III or type IV [4]. So MATLAB can convert FIR structure of 12 coefficients into FIR structure of 6 coefficients, thus reducing the number of multiply and accumulate operations (MACs) only if the filter is FIR linear phase filter of type I, type II, type III or type IV. In general, it is required to use least number of multiply and accumulate (MACs) for a given DSP design. This is possible when tool has the capability to factor out as much common coefficients as possible regardless of symmetry or anti-symmetry. It has been proved that once the symmetry of FIR linear phase filter of any type is disturbed, MATLAB is not able to recognize common coefficients. For example, if the coefficients are 12

13 [ ] MATLAB can recognize symmetry as it is linear phase FIR of type 2. But if we shuffle some of the coefficients as [ ] MATLAB will not be able to reduce multiply and accumulate (MACs) operations although half of the coefficients are equal to the other half. Figure 13 shows the filter designed above inserted between the input and output ports of the Synplify DSP block. Every thing between the input and output port should be from Synplify DSP Blockset in order to generate HDL and synthesize it. Figure 13: Inserting filter into the design 13

14 5b. Simulation Once the filter is in place as shown in figure 13, it can be made a subsystem to make the design more hierarchical. Once it is done, it is the time to simulate the design. For that it is necessary to give input as test vectors and observe the response. As mentioned before, the test input is a sum of sinusoids of two different frequencies. The filter will pass the low frequency sinusoid and reject the high frequency sinusoid. At the input and output, the time response and frequency response can be observed using scope and spectrum analyze respectively. Figure 14 shows this. It is important to note that figure 14 represents floating-point simulation or golden reference, which is the foremost step. Figure 14: Adding Stimuli and analysis components Figure 15 shows the input and output in frequency domain. The left hand side figure shows two peaks corresponding to two input sine waves. The right hand side figure shows one peak while the filter suppresses the other peak, as it is a low pass filter. 14

15 Figure 15: Input and output in freq domain. One sinusoid peak is suppressed at the output. Figure 16 show the same as figure 15 but in time domain. The left hand side figure shows sum of two input sine waves in time domain. The right hand side figure shows one sinusoid in time domain while the filter suppresses the other high frequency sinusoid. Figure 16: Input and output in time domain. One sinusoid is suppressed at the output. 15

16 5c. Conversion to fixed point After the floating-point simulation, it is confirmed that the design really acts like a low pass filter. So now it s the time to convert it to fixed point and prepare it for HDL translation. Figure 17 shows the output in time and frequency domain after the input and output are quantized to 12 bits. Figure 17 clearly shows that the result is very different from golden reference. This is the result of quantization error caused by choosing less number of bits to represent inputs, filter coefficients and outputs. Figure 17: Error in the representation of output signal due to Quantization error. Here less bits are used than necessary. So the remedy is to increase the number of bits to reduce quantization error to acceptable limits. This is what is being done in figure 18 where we are increasing the number of bits from 12 to 24 thereby doubling it. 16

17 Figure 18: Increasing bit length to reduce Quantization error. Here more bits are used. Now when the design is simulated in fixed point, the results are in accordance with the floating-point simulation, which shows successful fixed-point conversion. Figure 19: Output Corresponding to the above bit length. We see that output matches earlier floating-point simulation result. 17

18 5d. Optimization Now the design is ready to go to RTL. Since the design has been accomplished using Synplify DSP, it gives an option to optimize the design using folding, retiming and multi-channelizing. None of these options have been used in the FIR design example as shown in figure 20. Figure 21 shows technology specification and RTL specification. Once Run button is pressed, RTL for the design is generated. Figure 20: Choosing Optimization options Figure 21: Choosing implementation options 18

19 5e. Synthesis The design is synthesized using SYNPLIFY Pro. Figure 22 shows the result. It shows flow from input to output using transposed direct form [4]. It is observed that the design is implemented with least number of multiply and accumulate (MACs) blocks and this has been done while synthesizing the design. Also transposed direct form implementation is less immune to noise. Hence, during synthesis the tool explored a variety of possibilities and came up with a good solution. Transposed Direct form Implementation of FIR filter (Highly efficient and optimized) 3 multipliers instead of 6 (12/2 since symmetric) because some filter coefficients are zero and some are equal to 1 after Quantization. Figure 22: Synthesis 19

20 5f. Conclusions Although using Synplify Pro is effective in terms of multiply and accumulate (MACs), it cannot always determine symmetry and cannot factor out common coefficients. For example, when a common multiply accumulate expression like following is executed Out = 2*5 + 6*2 Synplify Pro cannot factor it out as Out = 2*(6+5) And hence it uses two multipliers instead of one as shown in the following figure. Figure 22b:Synthesis of expression Out = 2*5 + 2*6 Moreover, when the same filter, which was designed in section 5, is designed using Xilinx System Generator and synthesized using Synplify Pro, result came out to be very different. This shows that Synplify Pro cannot take vendor independent DSP design and synthesize it. Further Not all major DSP Algorithms have been implemented by Synplify DSP Only vendor library blocks can be inserted to complete the design. The vendors have provided not many examples and support. 20

21 The tools are nascent and will continue to evolve. Although Synplify DSP blockset or XILINX System generator or ALTERA DSP builder become part of SIMULINK, yet they are not as easy to use as SIMULINK. 6. Our Approach to DSP Synthesis 6.a What are the objectives? To implement DSP transforms optimized in terms of DSP hardware. That is to reduce the number of multiply, add or multiply and accumulate (MACs) operations. To do this prior to RTL synthesis as a behavioral transformation step 6.b How this is going to be done? The goal is to take any DSP transform matrix generated from MATLAB and analyze the structure of the matrix. For example, discrete cosine transform (DCT) for image compression. Then the DCT operation can be written in matrix representation as Y = MX where M = transform matrix X = input Y = output Then regardless of the size of matrix M, analyze its structure to see if there are common entries, entries that are 1 s or 1 or zero. If such entries exist, replace them with symbolic values. Once this is done, the symbolic matrix is passed to TEDify package [5] that exploits commonality using Taylor expansion diagram [6] and generates expressions for output optimized in terms of operators Note that matrix M need not correspond to any particular DSP transform but could be any user-defined matrix of any size obtained from user-defined transformation. Once output expressions from TEDify are obtained, correctness of Y = MX can be verified by providing input and matching output. 21

22 Y original = MX Error M TEDify Y optimized = M X Figure 23: Optimization approach using TEDify 6.c Summary of MATLAB TEDify interface The first step is to generate a transformation matrix in MATLAB environment to perform the computation Y original = MX Then Matrix M is passed to TEDify package. TEDify package will do the optimization and give back the output Y optimized in terms of X. Plug in values of X and see if it matches with Y original. 7. What is next? Given output expressions from TEDify e.g. Y 0 = C 0 *(x 0 +x 1 +x 2 +x 3 ) One can easily convert such code to RTL. This can be automated with special script. Once RTL code has been generated, it can be synthesized and one can be assured that the code will be optimized in terms of hardware resources. 22

23 8. MATLAB framework for TEDify Figure 24 shows MATLAB framework for TEDify where one can choose well-known DSP transforms or generate user-defined transform, which will be eventually passed to TEDify. Figure 24: Menu for DSP transform generation (still under development) Once the user chooses the transform type, he/she is asked for the transform matrix size. Figure 25 shows this menu. 23

24 Figure 25: Menu for DSP transform size Once the user chooses transform and transform size shown in figure 24 and figure 25, two files are generated. One file contains symbolic values in a matrix format that is as rows and columns. Figure 26 shows such a file. The first line describes the transform type e.g., DCT. The second line specifies the size of the transform e.g., 16 by 16. Next matrix is represented as symbolic values. 24

25 Figure 26: Symbolic constant generated for DCT transform of size 16 by 16. This will be the input to TEDify. 9. Conclusions and future work We have seen that our MATLAB - TEDify interface can do what FPGA vendor tool s does. Moreover, its integration with any vendor tool will greatly help the vendor in improving hardware optimization. The menu of MATLAB - TEDify interface is still under development. It will be expanded to cater Filtering FIR/IIR and any other user-defined transform. Interface will be developed for TEDify to export the results to MATLAB. An Interface has to be developed to generate RTL. 25

26 Acknowledgements I am greatly thankful to Prof. Maciej Ciesielski for his constant feedback and support. I also want to thank Daniel Gomez-Prado and Jeremie Guillot for their help in understanding TEDify package. References 1) Simplifying DSP Hardware Development within a MATLAB -based Design Flow", Compiler magazine from Synopsys 2) FPGAs: Fast track to DSP, white paper from Mentor Graphics. 3) Alan V. Oppenheim, Ronald W. Schafer, John R. Buck, Discrete-Time Signal Processing, Prentice Hall ) TEDify Package, 5) D. Gomez-Prado, Q. Ren, M. Ciesielski, J. Guillot, E. Boutillon, High level transformations using Taylor Expansion Diagrams, DATE ) Allen Kinast, Designing Digital Signal Processing with FPGAs, Mentor Graphics, Feb ) Douang Phanthavong, Manish Bansal, Mandar Chitnis,D.J. Wang, Optimization techniques for efficient implementation of DSP on FPGAs, Mentor Graphics 26

Model-Based Design for Video/Image Processing Applications

Model-Based Design for Video/Image Processing Applications Model-Based Design for Video/Image Processing Applications The MathWorks Agenda Model-Based Design From MATLAB and Simulink to Altera FPGA Step-by-step design and implementation of edge detection algorithm

More information

An Overview of a Compiler for Mapping MATLAB Programs onto FPGAs

An Overview of a Compiler for Mapping MATLAB Programs onto FPGAs An Overview of a Compiler for Mapping MATLAB Programs onto FPGAs P. Banerjee Department of Electrical and Computer Engineering Northwestern University 2145 Sheridan Road, Evanston, IL-60208 banerjee@ece.northwestern.edu

More information

AccelDSP tutorial 2 (Matlab.m to HDL for Xilinx) Ronak Gandhi Syracuse University Fall

AccelDSP tutorial 2 (Matlab.m to HDL for Xilinx) Ronak Gandhi Syracuse University Fall AccelDSP tutorial 2 (Matlab.m to HDL for Xilinx) Ronak Gandhi Syracuse University Fall 2009-10 AccelDSP Getting Started Tutorial Introduction This tutorial exercise will guide you through the process of

More information

DESIGN STRATEGIES & TOOLS UTILIZED

DESIGN STRATEGIES & TOOLS UTILIZED CHAPTER 7 DESIGN STRATEGIES & TOOLS UTILIZED 7-1. Field Programmable Gate Array The internal architecture of an FPGA consist of several uncommitted logic blocks in which the design is to be encoded. The

More information

Accelerating FPGA/ASIC Design and Verification

Accelerating FPGA/ASIC Design and Verification Accelerating FPGA/ASIC Design and Verification Tabrez Khan Senior Application Engineer Vidya Viswanathan Application Engineer 2015 The MathWorks, Inc. 1 Agenda Challeges with Traditional Implementation

More information

USING THE SYSTEM-C LIBRARY FOR BIT TRUE SIMULATIONS IN MATLAB

USING THE SYSTEM-C LIBRARY FOR BIT TRUE SIMULATIONS IN MATLAB USING THE SYSTEM-C LIBRARY FOR BIT TRUE SIMULATIONS IN MATLAB Jan Schier Institute of Information Theory and Automation Academy of Sciences of the Czech Republic Abstract In the paper, the possibilities

More information

Connecting MATLAB & Simulink with your SystemVerilog Workflow for Functional Verification

Connecting MATLAB & Simulink with your SystemVerilog Workflow for Functional Verification Connecting MATLAB & Simulink with your SystemVerilog Workflow for Functional Verification Corey Mathis Industry Marketing Manager Communications, Electronics, and Semiconductors MathWorks 2014 MathWorks,

More information

A SIMULINK-TO-FPGA MULTI-RATE HIERARCHICAL FIR FILTER DESIGN

A SIMULINK-TO-FPGA MULTI-RATE HIERARCHICAL FIR FILTER DESIGN A SIMULINK-TO-FPGA MULTI-RATE HIERARCHICAL FIR FILTER DESIGN Xiaoying Li 1 Fuming Sun 2 Enhua Wu 1, 3 1 University of Macau, Macao, China 2 University of Science and Technology Beijing, Beijing, China

More information

Evaluation of the RTL Synthesis Tools for FPGA/PLD Design. M.Matveev. Rice University. August 10, 2001

Evaluation of the RTL Synthesis Tools for FPGA/PLD Design. M.Matveev. Rice University. August 10, 2001 Evaluation of the RTL Synthesis Tools for FPGA/PLD Design M.Matveev Rice University August 10, 2001 Xilinx: Foundation ISE Design Entry: VHDL, Verilog, schematic, ABEL Synthesis: Xilinx XST, Synopsys FPGA

More information

Cover TBD. intel Quartus prime Design software

Cover TBD. intel Quartus prime Design software Cover TBD intel Quartus prime Design software Fastest Path to Your Design The Intel Quartus Prime software is revolutionary in performance and productivity for FPGA, CPLD, and SoC designs, providing a

More information

Cover TBD. intel Quartus prime Design software

Cover TBD. intel Quartus prime Design software Cover TBD intel Quartus prime Design software Fastest Path to Your Design The Intel Quartus Prime software is revolutionary in performance and productivity for FPGA, CPLD, and SoC designs, providing a

More information

FPGAs: FAST TRACK TO DSP

FPGAs: FAST TRACK TO DSP FPGAs: FAST TRACK TO DSP Revised February 2009 ABSRACT: Given the prevalence of digital signal processing in a variety of industry segments, several implementation solutions are available depending on

More information

Implementing MATLAB Algorithms in FPGAs and ASICs By Alexander Schreiber Senior Application Engineer MathWorks

Implementing MATLAB Algorithms in FPGAs and ASICs By Alexander Schreiber Senior Application Engineer MathWorks Implementing MATLAB Algorithms in FPGAs and ASICs By Alexander Schreiber Senior Application Engineer MathWorks 2014 The MathWorks, Inc. 1 Traditional Implementation Workflow: Challenges Algorithm Development

More information

Model-Based Design Using Simulink, HDL Coder, and DSP Builder for Intel FPGAs By Kiran Kintali, Yongfeng Gu, and Eric Cigan

Model-Based Design Using Simulink, HDL Coder, and DSP Builder for Intel FPGAs By Kiran Kintali, Yongfeng Gu, and Eric Cigan Model-Based Design Using Simulink, HDL Coder, and DSP Builder for Intel FPGAs By Kiran Kintali, Yongfeng Gu, and Eric Cigan WHITE PAPER Summary This document describes how HDL Coder from MathWorks can

More information

High Level Abstractions for Implementation of Software Radios

High Level Abstractions for Implementation of Software Radios High Level Abstractions for Implementation of Software Radios J. B. Evans, Ed Komp, S. G. Mathen, and G. Minden Information and Telecommunication Technology Center University of Kansas, Lawrence, KS 66044-7541

More information

Evolution of CAD Tools & Verilog HDL Definition

Evolution of CAD Tools & Verilog HDL Definition Evolution of CAD Tools & Verilog HDL Definition K.Sivasankaran Assistant Professor (Senior) VLSI Division School of Electronics Engineering VIT University Outline Evolution of CAD Different CAD Tools for

More information

ECE 501- Project in lieu of thesis VIKAS YELAGONDANAHALLI. Summer 2007

ECE 501- Project in lieu of thesis VIKAS YELAGONDANAHALLI. Summer 2007 ECE 501- Project in lieu of thesis VIKAS YELAGONDANAHALLI Summer 2007 Advisor: Dr. Don Bouldin Electrical and Computer Engineering University of Tennessee, Knoxville Date: 07/19/07 Implementation of a

More information

FPGA Polyphase Filter Bank Study & Implementation

FPGA Polyphase Filter Bank Study & Implementation FPGA Polyphase Filter Bank Study & Implementation Raghu Rao Matthieu Tisserand Mike Severa Prof. John Villasenor Image Communications/. Electrical Engineering Dept. UCLA 1 Introduction This document describes

More information

Intro to System Generator. Objectives. After completing this module, you will be able to:

Intro to System Generator. Objectives. After completing this module, you will be able to: Intro to System Generator This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Explain why there is a need for an integrated

More information

Overview of Digital Design with Verilog HDL 1

Overview of Digital Design with Verilog HDL 1 Overview of Digital Design with Verilog HDL 1 1.1 Evolution of Computer-Aided Digital Design Digital circuit design has evolved rapidly over the last 25 years. The earliest digital circuits were designed

More information

DSP Builder Handbook Volume 1: Introduction to DSP Builder

DSP Builder Handbook Volume 1: Introduction to DSP Builder DSP Builder Handbook Volume 1: Introduction to DSP Builder DSP Builder Handbook 101 Innovation Drive San Jose, CA 95134 www.altera.com HB_DSPB_INTRO-5.1 Document last updated for Altera Complete Design

More information

Simulink Design Environment

Simulink Design Environment EE219A Spring 2008 Special Topics in Circuits and Signal Processing Lecture 4 Simulink Design Environment Dejan Markovic dejan@ee.ucla.edu Announcements Class wiki Material being constantly updated Please

More information

Addressing Verification Bottlenecks of Fully Synthesized Processor Cores using Equivalence Checkers

Addressing Verification Bottlenecks of Fully Synthesized Processor Cores using Equivalence Checkers Addressing Verification Bottlenecks of Fully Synthesized Processor Cores using Equivalence Checkers Subash Chandar G (g-chandar1@ti.com), Vaideeswaran S (vaidee@ti.com) DSP Design, Texas Instruments India

More information

Modeling and implementation of dsp fpga solutions

Modeling and implementation of dsp fpga solutions See discussions, stats, and author profiles for this publication at: https://www.researchgate.net/publication/228877179 Modeling and implementation of dsp fpga solutions Article CITATIONS 9 READS 57 4

More information

DSP Builder Handbook Volume 1: Introduction to DSP Builder

DSP Builder Handbook Volume 1: Introduction to DSP Builder DSP Builder Handbook Volume 1: Introduction to DSP Builder DSP Builder Handbook 101 Innovation Drive San Jose, CA 95134 www.altera.com HB_DSPB_INTRO-4.0 Document last updated for Altera Complete Design

More information

DSP Flow for SmartFusion2 and IGLOO2 Devices - Libero SoC v11.6 TU0312 Quickstart and Design Tutorial

DSP Flow for SmartFusion2 and IGLOO2 Devices - Libero SoC v11.6 TU0312 Quickstart and Design Tutorial DSP Flow for SmartFusion2 and IGLOO2 Devices - Libero SoC v11.6 TU0312 Quickstart and Design Tutorial Table of Contents Introduction... 3 Tutorial Requirements... 3 Synphony Model Compiler ME (Microsemi

More information

INTRODUCTION TO CATAPULT C

INTRODUCTION TO CATAPULT C INTRODUCTION TO CATAPULT C Vijay Madisetti, Mohanned Sinnokrot Georgia Institute of Technology School of Electrical and Computer Engineering with adaptations and updates by: Dongwook Lee, Andreas Gerstlauer

More information

AC : INCORPORATING SYSTEM-LEVEL DESIGN TOOLS INTO UPPER-LEVEL DIGITAL DESIGN AND CAPSTONE COURSES

AC : INCORPORATING SYSTEM-LEVEL DESIGN TOOLS INTO UPPER-LEVEL DIGITAL DESIGN AND CAPSTONE COURSES AC 2007-2290: ICORPORATIG SYSTEM-LEVEL DESIG TOOLS ITO UPPER-LEVEL DIGITAL DESIG AD CAPSTOE COURSES Wagdy Mahmoud, University of the District of Columbia IEEE Senior Member American Society for Engineering

More information

Introduction to DSP/FPGA Programming Using MATLAB Simulink

Introduction to DSP/FPGA Programming Using MATLAB Simulink دوازدهمين سمينار ساليانه دانشكده مهندسي برق فناوری های الکترونيک قدرت اسفند 93 Introduction to DSP/FPGA Programming Using MATLAB Simulink By: Dr. M.R. Zolghadri Dr. M. Shahbazi N. Noroozi 2 Table of main

More information

Parallel FIR Filters. Chapter 5

Parallel FIR Filters. Chapter 5 Chapter 5 Parallel FIR Filters This chapter describes the implementation of high-performance, parallel, full-precision FIR filters using the DSP48 slice in a Virtex-4 device. ecause the Virtex-4 architecture

More information

CAD SUBSYSTEM FOR DESIGN OF EFFECTIVE DIGITAL FILTERS IN FPGA

CAD SUBSYSTEM FOR DESIGN OF EFFECTIVE DIGITAL FILTERS IN FPGA CAD SUBSYSTEM FOR DESIGN OF EFFECTIVE DIGITAL FILTERS IN FPGA Pavel Plotnikov Vladimir State University, Russia, Gorky str., 87, 600000, plotnikov_pv@inbox.ru In given article analyze of DF design flows,

More information

Programmable Logic Devices HDL-Based Design Flows CMPE 415

Programmable Logic Devices HDL-Based Design Flows CMPE 415 HDL-Based Design Flows: ASIC Toward the end of the 80s, it became difficult to use schematic-based ASIC flows to deal with the size and complexity of >5K or more gates. HDLs were introduced to deal with

More information

Making the Most of your MATLAB Models to Improve Verification

Making the Most of your MATLAB Models to Improve Verification Making the Most of your MATLAB Models to Improve Verification Verification Futures 2016 Graham Reith Industry Manager: Communications, Electronics & Semiconductors Graham.Reith@mathworks.co.uk 2015 The

More information

Choosing an Intellectual Property Core

Choosing an Intellectual Property Core Choosing an Intellectual Property Core MIPS Technologies, Inc. June 2002 One of the most important product development decisions facing SOC designers today is choosing an intellectual property (IP) core.

More information

FPGA Implementation and Validation of the Asynchronous Array of simple Processors

FPGA Implementation and Validation of the Asynchronous Array of simple Processors FPGA Implementation and Validation of the Asynchronous Array of simple Processors Jeremy W. Webb VLSI Computation Laboratory Department of ECE University of California, Davis One Shields Avenue Davis,

More information

A Matlab/Simulink Simulation Approach for Early Field-Programmable Gate Array Hardware Evaluation

A Matlab/Simulink Simulation Approach for Early Field-Programmable Gate Array Hardware Evaluation A Matlab/Simulink Simulation Approach for Early Field-Programmable Gate Array Hardware Evaluation Celso Coslop Barbante, José Raimundo de Oliveira Computing Laboratory (COMLAB) Department of Computer Engineering

More information

Modeling a 4G LTE System in MATLAB

Modeling a 4G LTE System in MATLAB Modeling a 4G LTE System in MATLAB Part 3: Path to implementation (C and HDL) Houman Zarrinkoub PhD. Signal Processing Product Manager MathWorks houmanz@mathworks.com 2011 The MathWorks, Inc. 1 LTE Downlink

More information

[Sub Track 1-3] FPGA/ASIC 을타겟으로한알고리즘의효율적인생성방법및신기능소개

[Sub Track 1-3] FPGA/ASIC 을타겟으로한알고리즘의효율적인생성방법및신기능소개 [Sub Track 1-3] FPGA/ASIC 을타겟으로한알고리즘의효율적인생성방법및신기능소개 정승혁과장 Senior Application Engineer MathWorks Korea 2015 The MathWorks, Inc. 1 Outline When FPGA, ASIC, or System-on-Chip (SoC) hardware is needed Hardware

More information

Basic Xilinx Design Capture. Objectives. After completing this module, you will be able to:

Basic Xilinx Design Capture. Objectives. After completing this module, you will be able to: Basic Xilinx Design Capture This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: List various blocksets available in System

More information

Lecture 2 Hardware Description Language (HDL): VHSIC HDL (VHDL)

Lecture 2 Hardware Description Language (HDL): VHSIC HDL (VHDL) Lecture 2 Hardware Description Language (HDL): VHSIC HDL (VHDL) Pinit Kumhom VLSI Laboratory Dept. of Electronic and Telecommunication Engineering (KMUTT) Faculty of Engineering King Mongkut s University

More information

Agenda. Introduction FPGA DSP platforms Design challenges New programming models for FPGAs

Agenda. Introduction FPGA DSP platforms Design challenges New programming models for FPGAs New Directions in Programming FPGAs for DSP Dr. Jim Hwang Xilinx, Inc. Agenda Introduction FPGA DSP platforms Design challenges New programming models for FPGAs System Generator Getting your math into

More information

MODEL BASED HARDWARE DESIGN WITH SIMULINK HDL CODER

MODEL BASED HARDWARE DESIGN WITH SIMULINK HDL CODER MODEL BASED HARDWARE DESIGN WITH SIMULINK HDL CODER Krasimira Filipova 1), Tsvetomir Dimov 2) 1) Technical University of Sofia, Faculty of Automation, 8 Kliment Ohridski, 1000 Sofia, Bulgaria, Phone: +359

More information

Introduction to C and HDL Code Generation from MATLAB

Introduction to C and HDL Code Generation from MATLAB Introduction to C and HDL Code Generation from MATLAB 이웅재차장 Senior Application Engineer 2012 The MathWorks, Inc. 1 Algorithm Development Process Requirements Research & Design Explore and discover Design

More information

FPGA Co-Processing Architectures for Video Compression

FPGA Co-Processing Architectures for Video Compression Co-Processing Architectures for Compression Overview Alex Soohoo Altera Corporation 101 Innovation Drive San Jose, CA 95054, USA (408) 544-8063 asoohoo@altera.com The push to roll out high definition video

More information

Early Models in Silicon with SystemC synthesis

Early Models in Silicon with SystemC synthesis Early Models in Silicon with SystemC synthesis Agility Compiler summary C-based design & synthesis for SystemC Pure, standard compliant SystemC/ C++ Most widely used C-synthesis technology Structural SystemC

More information

OUTLINE RTL DESIGN WITH ARX

OUTLINE RTL DESIGN WITH ARX 1 2 RTL DESIGN WITH ARX IMPLEMENTATION OF DIGITAL SIGNAL PROCESSING Sabih H. Gerez University of Twente OUTLINE Design languages Arx motivation and alternatives Main features of Arx Arx language elements

More information

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany 2013 The MathWorks, Inc. 1 Agenda Model-Based Design of embedded Systems Software Implementation

More information

High Speed SPI Slave Implementation in FPGA using Verilog HDL

High Speed SPI Slave Implementation in FPGA using Verilog HDL High Speed SPI Slave Implementation in FPGA using Verilog HDL Mr. Akshay K. Shah Abstract SPI (Serial Peripheral Interface) is a synchronous serial communication interface for short distance communication.

More information

Design and Verification of FPGA Applications

Design and Verification of FPGA Applications Design and Verification of FPGA Applications Giuseppe Ridinò Paola Vallauri MathWorks giuseppe.ridino@mathworks.it paola.vallauri@mathworks.it Torino, 19 Maggio 2016, INAF 2016 The MathWorks, Inc. 1 Agenda

More information

Four Best Practices for Prototyping MATLAB and Simulink Algorithms on FPGAs by Stephan van Beek, Sudhir Sharma, and Sudeepa Prakash, MathWorks

Four Best Practices for Prototyping MATLAB and Simulink Algorithms on FPGAs by Stephan van Beek, Sudhir Sharma, and Sudeepa Prakash, MathWorks Four Best Practices for Prototyping MATLAB and Simulink Algorithms on FPGAs by Stephan van Beek, Sudhir Sharma, and Sudeepa Prakash, MathWorks Chip design and verification engineers often write as many

More information

FPGA-Based Rapid Prototyping of Digital Signal Processing Systems

FPGA-Based Rapid Prototyping of Digital Signal Processing Systems FPGA-Based Rapid Prototyping of Digital Signal Processing Systems Kevin Banovic, Mohammed A. S. Khalid, and Esam Abdel-Raheem Presented By Kevin Banovic July 29, 2005 To be presented at the 48 th Midwest

More information

Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink

Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink Giorgia Zucchelli, Application Engineer, MathWorks 10 January 2013, Technical University Eindhoven 2013 The MathWorks, Inc.

More information

A Methodology for Energy Efficient FPGA Designs Using Malleable Algorithms

A Methodology for Energy Efficient FPGA Designs Using Malleable Algorithms A Methodology for Energy Efficient FPGA Designs Using Malleable Algorithms Jingzhao Ou and Viktor K. Prasanna Department of Electrical Engineering, University of Southern California Los Angeles, California,

More information

Hardware Implementation and Verification by Model-Based Design Workflow - Communication Models to FPGA-based Radio

Hardware Implementation and Verification by Model-Based Design Workflow - Communication Models to FPGA-based Radio Hardware Implementation and Verification by -Based Design Workflow - Communication s to FPGA-based Radio Katsuhisa Shibata Industry Marketing MathWorks Japan 2015 The MathWorks, Inc. 1 Agenda Challenges

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

101-1 Under-Graduate Project Digital IC Design Flow

101-1 Under-Graduate Project Digital IC Design Flow 101-1 Under-Graduate Project Digital IC Design Flow Speaker: Ming-Chun Hsiao Adviser: Prof. An-Yeu Wu Date: 2012/9/25 ACCESS IC LAB Outline Introduction to Integrated Circuit IC Design Flow Verilog HDL

More information

Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink

Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink Arun Mulpur, Ph.D., MBA Industry Group Manager Communications, Electronics, Semiconductors, Software, Internet Energy Production, Medical

More information

International Journal for Research in Applied Science & Engineering Technology (IJRASET) IIR filter design using CSA for DSP applications

International Journal for Research in Applied Science & Engineering Technology (IJRASET) IIR filter design using CSA for DSP applications IIR filter design using CSA for DSP applications Sagara.K.S 1, Ravi L.S 2 1 PG Student, Dept. of ECE, RIT, Hassan, 2 Assistant Professor Dept of ECE, RIT, Hassan Abstract- In this paper, a design methodology

More information

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw March 2013 Agenda Introduction

More information

What's new in MATLAB and Simulink for Model-Based Design

What's new in MATLAB and Simulink for Model-Based Design What's new in MATLAB and Simulink for Model-Based Design Magnus Jung Application Engineer 2016 The MathWorks, Inc. 1 What s New? 2 Model-Based Design Workflow RESEARCH REQUIREMENTS DESIGN Scheduling Event

More information

Large Data handling Technique for Compression Pre-coder using Scalable Algorithm

Large Data handling Technique for Compression Pre-coder using Scalable Algorithm Journal of Information & Communication Technology Vol., No., (Spring 007) 4-50 Large Data handling Technique for Compression Pre-coder using Scalable Algorithm Muhammad Kamran Beijing Institute of Technology,

More information

FPGAs Provide Reconfigurable DSP Solutions

FPGAs Provide Reconfigurable DSP Solutions FPGAs Provide Reconfigurable DSP Solutions Razak Mohammedali Product Marketing Engineer Altera Corporation DSP processors are widely used for implementing many DSP applications. Although DSP processors

More information

Agenda. How can we improve productivity? C++ Bit-accurate datatypes and modeling Using C++ for hardware design

Agenda. How can we improve productivity? C++ Bit-accurate datatypes and modeling Using C++ for hardware design Catapult C Synthesis High Level Synthesis Webinar Stuart Clubb Technical Marketing Engineer April 2009 Agenda How can we improve productivity? C++ Bit-accurate datatypes and modeling Using C++ for hardware

More information

Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs. Fall 2004

Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs. Fall 2004 Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs Fall 2004 Agenda FPGA design challenges Mentor Graphics comprehensive FPGA design solutions Unique tools address the full range

More information

Optimize DSP Designs and Code using Fixed-Point Designer

Optimize DSP Designs and Code using Fixed-Point Designer Optimize DSP Designs and Code using Fixed-Point Designer MathWorks Korea 이웅재부장 Senior Application Engineer 2013 The MathWorks, Inc. 1 Agenda Fixed-point concepts Introducing Fixed-Point Designer Overview

More information

Assignment. Last time. Last time. ECE 4514 Digital Design II. Back to the big picture. Back to the big picture

Assignment. Last time. Last time. ECE 4514 Digital Design II. Back to the big picture. Back to the big picture Assignment Last time Project 4: Using synthesis tools Synplify Pro and Webpack Due 11/11 ning of class Generics Used to parameterize models E.g., Delay, bit width Configurations Configuration specification

More information

Appendix SystemC Product Briefs. All product claims contained within are provided by the respective supplying company.

Appendix SystemC Product Briefs. All product claims contained within are provided by the respective supplying company. Appendix SystemC Product Briefs All product claims contained within are provided by the respective supplying company. Blue Pacific Computing BlueWave Blue Pacific s BlueWave is a simulation GUI, including

More information

An introduction to CoCentric

An introduction to CoCentric A Hand-Out 1 An introduction to CoCentric Las Palmas de G. C., Spain Jun, 27 th, 2002 Agenda 2 System-level SoC design What is SystemC? CoCentric System Studio SystemC based designs verification CoCentric

More information

Employing Multi-FPGA Debug Techniques

Employing Multi-FPGA Debug Techniques Employing Multi-FPGA Debug Techniques White Paper Traditional FPGA Debugging Methods Debugging in FPGAs has been difficult since day one. Unlike simulation where designers can see any signal at any time,

More information

Hardware Software Co-Simulation of Canny Edge Detection Algorithm

Hardware Software Co-Simulation of Canny Edge Detection Algorithm . International Journal of Computer Applications (0975 8887) Hardware Software Co-Simulation of Canny Edge Detection Algorithm Kazi Ahmed Asif Fuad Post-Graduate Student Dept. of Electrical & Electronic

More information

RTL Coding General Concepts

RTL Coding General Concepts RTL Coding General Concepts Typical Digital System 2 Components of a Digital System Printed circuit board (PCB) Embedded d software microprocessor microcontroller digital signal processor (DSP) ASIC Programmable

More information

AccelDSP Synthesis Tool

AccelDSP Synthesis Tool AccelDSP Synthesis Tool Release Notes R R Xilinx is disclosing this Document and Intellectual Property (hereinafter the Design ) to you for use in the development of designs to operate on, or interface

More information

Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink

Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink Giorgia Zucchelli, Application Engineer, MathWorks 17 January 2011, Technical University Eindhoven 1 Agenda Introduction to

More information

Lecture 1: Introduction Course arrangements Recap of basic digital design concepts EDA tool demonstration

Lecture 1: Introduction Course arrangements Recap of basic digital design concepts EDA tool demonstration TKT-1426 Digital design for FPGA, 6cp Fall 2011 http://www.tkt.cs.tut.fi/kurssit/1426/ Tampere University of Technology Department of Computer Systems Waqar Hussain Lecture Contents Lecture 1: Introduction

More information

Integrated Workflow to Implement Embedded Software and FPGA Designs on the Xilinx Zynq Platform Puneet Kumar Senior Team Lead - SPC

Integrated Workflow to Implement Embedded Software and FPGA Designs on the Xilinx Zynq Platform Puneet Kumar Senior Team Lead - SPC Integrated Workflow to Implement Embedded Software and FPGA Designs on the Xilinx Zynq Platform Puneet Kumar Senior Team Lead - SPC 2012 The MathWorks, Inc. 1 Agenda Integrated Hardware / Software Top

More information

A Model-based Embedded Control Hardware/Software Co-design Approach for Optimized Sensor Selection of Industrial Systems

A Model-based Embedded Control Hardware/Software Co-design Approach for Optimized Sensor Selection of Industrial Systems A Model-based Embedded Control Hardware/Software Co-design Approach for Optimized Sensor Selection of Industrial Systems Kyriakos M. Deliparaschos, Konstantinos Michail, Spyros G. Tzafestas, Argyrios C.

More information

ASIC Design Flow. P.Radhakrishnan, Senior ASIC-Core Development Engineer, Toshiba, 1060, Rincon Circle, San Jose, CA (USA) Jan 2000 (Issue-3)

ASIC Design Flow. P.Radhakrishnan, Senior ASIC-Core Development Engineer, Toshiba, 1060, Rincon Circle, San Jose, CA (USA) Jan 2000 (Issue-3) By P.Radhakrishnan, Senior ASIC-Core Development Engineer, Toshiba, 1060, Rincon Circle, San Jose, CA 95132 (USA) Jan 2000 (Issue-3) Contents Introduction... 3 Application Specific Integrated Circuits

More information

FPGA Design Flow 1. All About FPGA

FPGA Design Flow 1. All About FPGA FPGA Design Flow 1 In this part of tutorial we are going to have a short intro on FPGA design flow. A simplified version of FPGA design flow is given in the flowing diagram. FPGA Design Flow 2 FPGA_Design_FLOW

More information

Reducing the cost of FPGA/ASIC Verification with MATLAB and Simulink

Reducing the cost of FPGA/ASIC Verification with MATLAB and Simulink Reducing the cost of FPGA/ASIC Verification with MATLAB and Simulink Graham Reith Industry Manager Communications, Electronics and Semiconductors MathWorks Graham.Reith@mathworks.co.uk 2015 The MathWorks,

More information

Mapping Multi-Million Gate SoCs on FPGAs: Industrial Methodology and Experience

Mapping Multi-Million Gate SoCs on FPGAs: Industrial Methodology and Experience Mapping Multi-Million Gate SoCs on FPGAs: Industrial Methodology and Experience H. Krupnova CMG/FMVG, ST Microelectronics Grenoble, France Helena.Krupnova@st.com Abstract Today, having a fast hardware

More information

Digital Design Methodology

Digital Design Methodology Digital Design Methodology Prof. Soo-Ik Chae Digital System Designs and Practices Using Verilog HDL and FPGAs @ 2008, John Wiley 1-1 Digital Design Methodology (Added) Design Methodology Design Specification

More information

Using SystemC for Hardware Design Comparison of results with VHDL, Cossap and CoCentric

Using SystemC for Hardware Design Comparison of results with VHDL, Cossap and CoCentric Comparison of results with VHDL, Cossap and CoCentric Mario Steinert, Steffen Buch, CPD AA, Infineon Technologies AG, David Slogsnat, University of Mannheim mario.steinert@infineon.com ABSTRACT This paper

More information

ASIC Implementation and FPGA Validation of IMA ADPCM Encoder and Decoder Cores using Verilog HDL

ASIC Implementation and FPGA Validation of IMA ADPCM Encoder and Decoder Cores using Verilog HDL ASIC Implementation and FPGA Validation of IMA ADPCM Encoder and Decoder Cores using Verilog HDL Rafeedah Ahamadi Galagali Electrical and Electronics, B L D E A s V.P Dr.P.G.Halakatti college of Engg &

More information

DSP Co-Processing in FPGAs: Embedding High-Performance, Low-Cost DSP Functions

DSP Co-Processing in FPGAs: Embedding High-Performance, Low-Cost DSP Functions White Paper: Spartan-3 FPGAs WP212 (v1.0) March 18, 2004 DSP Co-Processing in FPGAs: Embedding High-Performance, Low-Cost DSP Functions By: Steve Zack, Signal Processing Engineer Suhel Dhanani, Senior

More information

Hierarchical Design Using Synopsys and Xilinx FPGAs

Hierarchical Design Using Synopsys and Xilinx FPGAs White Paper: FPGA Design Tools WP386 (v1.0) February 15, 2011 Hierarchical Design Using Synopsys and Xilinx FPGAs By: Kate Kelley Xilinx FPGAs offer up to two million logic cells currently, and they continue

More information

A Rapid Prototyping Methodology for Algorithm Development in Wireless Communications

A Rapid Prototyping Methodology for Algorithm Development in Wireless Communications A Rapid Prototyping Methodology for Algorithm Development in Wireless Communications Abstract: Rapid prototyping has become an important means to verify the performance and feasibility of algorithms and

More information

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture 01 Introduction Welcome to the course on Hardware

More information

Formal Verification of ASIC Design

Formal Verification of ASIC Design Worcester Polytechnic Institute Digital WPI Major Qualifying Projects (All Years) Major Qualifying Projects April 2018 Formal Verification of ASIC Design Jonathan Ariza Worcester Polytechnic Institute

More information

Is SystemVerilog Useful for FPGA Design & Verification?

Is SystemVerilog Useful for FPGA Design & Verification? Is Useful for FPGA Design & Verification? ( Burn and Learn versus Learn and Burn ) Stuart Sutherland Wizard Sutherland HDL, Inc. Training engineers to be HDL wizards www.sutherland-hdl.com 2of 20 About

More information

INTEGER SEQUENCE WINDOW BASED RECONFIGURABLE FIR FILTERS.

INTEGER SEQUENCE WINDOW BASED RECONFIGURABLE FIR FILTERS. INTEGER SEQUENCE WINDOW BASED RECONFIGURABLE FIR FILTERS Arulalan Rajan 1, H S Jamadagni 1, Ashok Rao 2 1 Centre for Electronics Design and Technology, Indian Institute of Science, India (mrarul,hsjam)@cedt.iisc.ernet.in

More information

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES DIGITAL DESIGN TECHNOLOGY & TECHNIQUES CAD for ASIC Design 1 INTEGRATED CIRCUITS (IC) An integrated circuit (IC) consists complex electronic circuitries and their interconnections. William Shockley et

More information

Fixed-point Simulink Designs for Automatic HDL Generation of Binary Dilation & Erosion

Fixed-point Simulink Designs for Automatic HDL Generation of Binary Dilation & Erosion Fixed-point Simulink Designs for Automatic HDL Generation of Binary Dilation & Erosion Gurpreet Kaur, Nancy Gupta, and Mandeep Singh Abstract Embedded Imaging is a technique used to develop image processing

More information

Design of Convolution Encoder and Reconfigurable Viterbi Decoder

Design of Convolution Encoder and Reconfigurable Viterbi Decoder RESEARCH INVENTY: International Journal of Engineering and Science ISSN: 2278-4721, Vol. 1, Issue 3 (Sept 2012), PP 15-21 www.researchinventy.com Design of Convolution Encoder and Reconfigurable Viterbi

More information

Implementation of a Low Power Decimation Filter Using 1/3-Band IIR Filter

Implementation of a Low Power Decimation Filter Using 1/3-Band IIR Filter Implementation of a Low Power Decimation Filter Using /3-Band IIR Filter Khalid H. Abed Department of Electrical Engineering Wright State University Dayton Ohio, 45435 Abstract-This paper presents a unique

More information

CMPE 415 Programmable Logic Devices Introduction

CMPE 415 Programmable Logic Devices Introduction Department of Computer Science and Electrical Engineering CMPE 415 Programmable Logic Devices Introduction Prof. Ryan Robucci What are FPGAs? Field programmable Gate Array Typically re programmable as

More information

FPGA Implementation of Multiplierless 2D DWT Architecture for Image Compression

FPGA Implementation of Multiplierless 2D DWT Architecture for Image Compression FPGA Implementation of Multiplierless 2D DWT Architecture for Image Compression Divakara.S.S, Research Scholar, J.S.S. Research Foundation, Mysore Cyril Prasanna Raj P Dean(R&D), MSEC, Bangalore Thejas

More information

Design Once with Design Compiler FPGA

Design Once with Design Compiler FPGA Design Once with Design Compiler FPGA The Best Solution for ASIC Prototyping Synopsys Inc. Agenda Prototyping Challenges Design Compiler FPGA Overview Flexibility in Design Using DC FPGA and Altera Devices

More information

SDR Spring KOMSYS-F6: Programmable Digital Devices (FPGAs)

SDR Spring KOMSYS-F6: Programmable Digital Devices (FPGAs) SDR Spring 2006 KOMSYS-F6: Programmable Digital Devices (FPGAs) Lecture 4 Jan Hvolgaard Mikkelsen Aalborg University 2006 Agenda What was the story about VHDL? o Quick recap from Lecture 3. Illustration

More information

: : (91-44) (Office) (91-44) (Residence)

:  : (91-44) (Office) (91-44) (Residence) Course: VLSI Circuits (Video Course) Faculty Coordinator(s) : Prof. S. Srinivasan Department of Electrical Engineering Indian Institute of Technology Madras Chennai 600036 Email Telephone : srinis@iitm.ac.in,

More information

Tools for Reconfigurable Supercomputing. Kris Gaj George Mason University

Tools for Reconfigurable Supercomputing. Kris Gaj George Mason University Tools for Reconfigurable Supercomputing Kris Gaj George Mason University 1 Application Development for Reconfigurable Computers Program Entry Platform mapping Debugging & Verification Compilation Execution

More information