HIGH-LEVEL TEST GENERATION FOR HARDWARE TESTING AND SOFTWARE VALIDATION

Size: px
Start display at page:

Download "HIGH-LEVEL TEST GENERATION FOR HARDWARE TESTING AND SOFTWARE VALIDATION"

Transcription

1 HIGH-LEVEL TEST GENERATION FOR HARDWARE TESTING AND SOFTWARE VALIDATION 0. Goloubeva, M. Sonza Reorda, M. Violante Politecnico di Torino, Torino, Italy, it Abstract It is iioiv coninion for design teams to develop systems where hardware and software components cooperate; they are thus facing the challenging task of validating and testing systems where hardware and software parts exist. In this paper a high-level test generation approach is presented, u+,hich is able to produce input stimuli that can be fruitfully exploited for test and validation purposes of both hardware and sofh$3are coniponents. Experinteiital results are reported showing that the proposed approach produces high quality vectors in temis of the adopted metrics for hardware and sofh%,are faults. 1. Introduction In the last years, new techniques have been developed to integrate an entire system on a single chip, resulting in the new design paradigm hown as System-on-Chip (SOC). SOC products represent a real challenge not only from the manufacturing point of view, but also when design issues are concerned. Designers have thus to face the challenging task of validating and then testing systems where hardware and software components cooperate. While the design practice is quickly moving toward higher levels of abstraction thanks to the adoption of system-level design tools, test issues are still mainly considered only at the lowest levels of abstractions, when a detailed description of the design is available. For the hardware components, test is typically addressed at the gate level for test sequence generation and at the register transfer (RT) level for design for testability structure insertion. As far as software components are concerned, the exploitation of formal verification techniques has been advocated by some authors [l] as a viable solution for assessing their correctness, hut its widespread adoption is limited by the overhead, both in terms of expertise and resource, it implies. Several approaches have been proposed to overcome these limitations. Symbolic evaluations 121 [3] consists in first assigning symbolic values to variables; the paths composing the program control flow graph are then traversed, and a list of symbolic representations of each condition predicate along the paths is recorded. Through the analysis of the obtained constraints it is then possible to determine if paths are executable as well as the conditions for their activation. Simpler approaches are those based on path testing [4] and test coverage metrics [5], where the number of executed paths, branches and statements are counted, and those based onfunctional testing [5][6], where software components are considered as black boxes and the outputs they produce in response to a set of input stimuli are checked for conformity with the expected behavior. Finally, an approach inspired to those adopted in the hardware test community is mutation testing [7][8]. When adopting mutation testing, faults are introduced into a software component by creating many versions of the component, each of which contains one fault. A faulty component is thus a mutanf of the original one. The obtained components are then executed under a given set of input stimuli to observe which faults produce wrong results. Usually, the input stimuli used during the mutation testing process are either provided by designers or they are randomly generated within a Monte Carlo simulation procedure. As a result, the input stimuli do not guarantee complete coverage of all the mutants possibly affecting the tested software component. Today, the introduction of system-level design tools has unified the process of specification of software and hardware components of Systems-on-Chip. As an example, the users of co-design tools start the design process by just describing the system behavior, exploiting a system-level specification language such as SystemC [9], while neglecting which part of the specification will be implemented in hardware and which one in software. In this scenario, provided that a test generation process is available to deal with behavioral specifications, the automatic generation of a single set of input stimuli suitable for testing both hardware and software components becomes possible. Starting from the preliminary work we presented in [lo], in this paper we explore the feasibility of a validatiodtest approach based on a test generation algorithm that works on high-level descriptions and that is able to provide input stimuli (in the following called test vectors) useful for testing both hardware and software components. For the purpose of this paper, we target purely behavioral system descriptions, where the behavior of each SOC component is coded resorting to the SystemC /03/$ IEEE 143

2 specification language. Complex systems embedding multiple components are also considered. The approach we propose exploits a high-level fault model for driving the test generation procedure, which is performed by an algorithm based on a heuristic search. Test vectors can be generated without any knowledge about the analyzed system except its behavior. The results gathered on several benchmark systems show that the generated vectors can be fruitfully used for testing the hardware implementation of the given behavior, as well as for validating the software one. This result allows to look at the area of high-level test stimuli generation in a new way, since it shows that generation can be performed not only without the knowledge of any implementation detail, but even irrespectively of what is implemented in hardware and what in software. The remainder of the paper is organized as follows. In -section 2 we review the state-of-the-art in high-level test vector generation and fault models. In section 3 the proposed method of the test vectors generation is presented. Section 4 reports the results we gathered on some benchmarks and finally section 5 draws conclusions. 2. Previous works The availability of an effective behavioral-level test generation process mandates the definition of suitable fault models and test generation algorithms supporting them. As far as the fault model is considered, the following characteristics should be met. On the one hand, the fault model must he applicable to behavioral specifications for example composed of variable assignments, arithmeticilogical operations among variables and control flow instructions. On the other hand, the fault model should be representative of faults that can affect either the hardware or the software components of SOCs. Several high-level fault models can be found in literature, which are inspired by those known in the software-testing [5] domain, and that extend them to cope with hardware descriptions. The state-of-the-art of high-level fault models is described in [ 111 where bitfailures and conditionsfoilures are used to model faults affecting the memory elements and the control logic of hardware components, while only their behavioral specifications are known. As far as the test generation algorithm is considered, several approaches have been proposed in the past that are able to maximize the coverage figure of the adopted highlevel fault model. Most of them are able to generate test patterns of good quality, sometimes comparable or even better than those of gate-level ATPG tools. However, lacking general applicability, these approaches are still not accepted by the industry. The different approaches are based on different assumptions and on a wide specuum of distinct algorithmic techniques. Some are based on extracting from a behavioral description the corresponding control machine [I21 or the symbolic representation based on binary decision diagrams [13], while others also synthesize a structural description of the data path [14]. Moreover, other approaches try to combine high-level information about the control machine and the data-path [15]. Some approaches rely on a direct examination of the HDL description [ 161, or exploit the knowledge of the gatelevel implementation [17]. Some others combine static analysis with simulation [ 18][ 191. The common denominator of the alrkady proposed approaches is that they aim at generating input stimuli targeting faults affecting hardware components, only. They are indeed intended to be exploited in design flows based on behavioral synthesis, where the system is a purely hardware one. Furthermore, the goodness of the produced input stimuli is evaluated as the gate-level stuck-at fault coverage they attain. 3. High-level test generation environment In this section we describe the high-level test generation environment we developed. In panicular, section 3.1 describes our assumptions on the considered systems, section 3.2 discusses the adopted, fault models, while section 3.3 presents the test generation algorithm we implemented Assumptions For the purpose of this paper we assume that a system is a network of components described in a purely behavioral fashion. Variable assignments, arithmetic and logical operations among variables and control flow instructions are used to describe how the components react to a set of input stimuli to produce the corresponding output values. Communication among components is assumed to be asynchronous, based on events. Given a set of input stimuli arranged as a sequence of input vectors, we assume that a new vector is applied to the system inputs only when the system is in a steady state, i.e., when the previous vector has been evaluated and the corresponding output values have been produced High-level fault models Several high-level fault models are available in the literature that can be used for assessing the goodness of test vectors while working at abstraction levels higher than the gate-level one. For the sake of this paper, we considered the high-level fault models described in [ 111 which provide an accurate estimation of the test capabilities of input vectors while working on behavioral descriptions. The considered fault models are: I Bit coverage: each bit in every variable, signal or port in the system can be stuck to zero or one. The bit coverage measures the percentage of stuck-at bits that are propagated on the system outputs by a given test sequence. 144

3 Condition coverage: each condition can be stuck-at true or stuck-at false. Then, the condition coverage is defined as the percentage of stuck-at condition that is propagated on the system outputs by a given test sequence. In order to fruitfully exploit the aforementioned highlevel fault models within a test generation tool, we developed a high-level fault simulation environment, which implements the Saboteur [20] approach through a two-step process: 1. The behavioral system description under analysis is first instrumented by adding suitable statements that fulfill two purposes: 2. a. They alter the behavior of the system according to the supported fault models. b. They allow observing the behavior of the system to gather meaningful statistics (in particular, they provide access to the contents of all the variables in the system description). During this phase, the list of faults to he considered during fault simulation is also computed and stored. A given set of input vectors is applied to the inputs of the system description resorting to the adopted simulation environment. During the execution of the system description, a preliminary simulation is performed without injecting faults, and the output trace of the system is recorded. Then, each fault in the previously computed fault list is injected and faulty output trace is recorded. By comparing the faulty trace with the fault-free one, we then compute the high-level coverage figure the vectors attain High-level lest generation algorithm The test generation algorithm we developed implements a Random Mutation Hill Climber (RMHC) algorithm, whose pseudo-code is reported in the figure I. A RMHC is a Hill Climber that, given a current solution, evaluates neighhor solutions in a complete random order until an improvement is found. When an improvement is found, the process is iterated over the new solution. The process is repeated until a given stopping condition is met. The adopted stopping condition corresponds to performing a given number of iterations without improvements. In our algorithm a solution is a sequence S of test vectors; each test vector is applied to the system inputs according to the assumptions stated in section 3.1. Starting from an initial randomly generated solution S, a ned solution S is computed by applying a random mutation operator. This operator supports three types of mutations: it complements one randomly selected bit within a randomly selected vector of S, it increases the number of vectors in S by adding a randomly generated vector in a randomly selected position in the test sequence, or it decreases the number of vectors in S by removing a randomly selected vector in the sequence. The new solution S is accepted if and only if it increases the goodness of the previous solution S, HLTGISI while1 stopping condicion not met I t S = apply_random_mutationlsl if (Fitness1 IS ) > Fitness1 IS1 I I I 1 I Fitnessl (S l == Fitness1 (SI I && I Fitness2 IS ) > Fitness2 IS1 I I s = S if( new faults are detected I save-solution (SI Figure 1. HLTG algorithm In the HLTG algorithm, the goodness of a solution is defined by two functions: 0 Fitnessl (S) = Coverage(S) FitnessZ(S) = NS(s) where: Coverage(S) is the sum of the bit coverage and condition coverage as measured by the high-level fault simulator described in section 3.2. NS (S) is the number of different states the system traverses during the evaluation of the sets of vectors. The state of the system is defined as the content of every variable in the system at the end of the evaluation of one input vector. This figure is computed by exploiting the information provided by the highlevel simulator. The new solution S is accepted if Fitnessl (S ) > Fi tnessl (9, where S is the current solution. In the case Fitnessl(S 1 =Fitness1 (9, S is accepted if Fitness2 (S ) >Fitness2 (S). This assumption guarantees that a new solution is accepted only if it does not reduce the number of faults the previous solution detects. 4. Experimental results The main purpose of the performed experiments was to assess the goodness of the vectors our high-level test generation process is able to provide. For this purpose, we considered six benchmarks coded in SystemC, whose characteristics are described in table 1, where the number of SystemC lines, number of variables and operations used in the system description, number of primary inputs (PIS) and primary outputs (POs) are reported. 145

4 The fnst five benchmarks are composed of one component only, while the last one is composed of two components. Each component in the system is an instance of the SC-MODULE class whose behavior is described through the SC-METHOD primitive. The fnst f0w benchmarks inspired by those in the HLSynth 92 benchmarks suite and implement data-processing algorithms, while LRU implements a control-intensive algorithm with few data-processing operations. Finally, the last benchmark implements both data-processing and control-intensive algorithms. we run HLTG on the described benchmark, and we obtained a set of test vectors to be used for both hardware and software testing. Table 1. Benchmark characteristics I I Lines I Variables I Operations I PI I PO I Starting from the SystemC description of each benchmark we derived two implementations: A hardwure one, obtained by running the SystemC compiler. A sofhvure one, obtained by hand-coding the benchmarks in standard C language. Each component of the high-level model is translated to a procedure that is called by the main program, which operates as a very simple task scheduler. faultsim Behavioral coverage Figure 2. Simulation of HLTG vectors We implemented a prototypical version of the environment (named HLTG) that amounts to about 2,000 lines of C code. Following the flow described in figure 2, coverage & coverage Figure 3. Simulation of testgen vectors To measure the goodness of the obtained vectors we adopted two metrics: for the hurdwure implementation we adopted the single stuck-at fault coverage, and we resorted to the Synopsys faults im tool for gate-level fault simulation. for the sofhvure implementation we adopted software mutant coverage, and we resorted to an in-house developed tool (called msim) to measure it. The following mutants, taken from the current practice in software testing [7],[8] were considered a. Replacement of arithmetic operators h. Changing of the value of constants C. Replacement of relational operators d. Replacement of variables in operations and assignments e. Replacement of logical operators f. Deletion of operands from arithmetical operations. According to the authors of [7] and [PI, these mutants are representative of typical errors found in software; therefore, we assumed that any input sequence able to maximize the mutant coverage is thus likely to highlight typical errors affecting software components. The obtained results are reported in table 2, where the stuck-at fault coverage (FC) and the mutant coverage (MC) are shown, as well as the test length (Len) of the generated stimuli and the time for running the HLTG tool (CPU). 146

5 Table 2. HLTG results I I Len I CPU I MC t FC I The number of produced HLTG vectors is usually higher than that coming from the gate-level ATPG. This result is not surprising, due to the limited complexity of the high-level algorithm, where no efforts have been yet devoted to reducing the test length. 5. Conclusions All the experiments have been performed on a Sun Enterprise 250 machine equipped with two processors running at 400 MHz and with 2 Ghytes of RAM. For comparison sake, we exploited the flow shown in figure 3 to compute a set of test vectors at the gate-level generated by the Synopsys testgen tool. Being interested in evaluating the effectiveness of the HLTG vectors in detecting all the possible and subtler faults (like the ones affecting circuit gates of hardware components that can be only partially modeled at the high level) we let testgen to execute the test generation algorithm on the whole fault list, considering faults on gates and flip-flops as well. We then fault simulated the vectors with msim to measure the achieved software mutant coverage. The attained results are shown in table 3. Table 3. Tes tgen results PIease note that we simulated the vectors computed by testgen and HLTG resorting to the same tools and exploiting the same hardware and software implementations: the attained coverage figures are thus comparable. By comparing the figures in tables 2 and 3 we can observe that: Although very simple, HLTG is able to produce high quality test vectors. For 4 out of 6 benchmarks the coverage results the HLTG vectors provide are equal or better than that of vectors generated by a commercial gate-level ATPG, as far as both mutant and stuck-at fault coverage are considered. For all the considered benchmarks, HLTG is able to finish the test generation procedure in an amount of time 3 to 60 times lower than that spent by testgen. By addressing test on behavioral descriptions, and thus neglecting all the details that gate-level descriptions imply, we can effectively reduce the test generation time. This paper describes a preliminary analysis devoted to assess what can reasonably be done in terms of test stimuli generation starting from a high-level behavioral description of a system, only. We proposed a fault model suitable to be adopted on such a kind of descriptions, and described a simple algorithm for generating test stimuli from SystemC descriptions. We then performed a set of experiments, which show that the generated stimuli own surprisingly good properties in terms of both validation and test purposes, when compared to stimuli generated at the gatelevel with traditional test tools. Obviously, we do not believe that high-level generated vectors are the ultimate solution for the test and validation problem; indeed, they are often not likely to be able to cover all the possible faults in a system, mostly due to the existence of faults that can hardly be modeled at abstraction levels higher than the gate-level one. Moreover, despite the availability of valuabie vectors starting from the early design phases, the current test approach, i.e., full scan, is not likely to change in the near time. Nevertheless, this paper shows that vectors generated from high-level behavioral descriptions can be fruitfully exploited in the test and validation process: high-level generated vectors can be of great help in reducing test generation costs thanks to the exploitation of abstract descriptions that require less CPU resources for simulation and test generation. The authors are currently working to better understand the possibilities which this preliminary work opens. References 1. S. Edwards, L. Lavagno, EA. Lee, A. Sangiovannj Vincentelli, Design of embedded systems: formal models, validation, and synthesis, Proceedings of IEEE, Vol. 84, No. 3, March 1997, pp J.S. King, Symbolic execution and program testing, Communications of the ACM, No. 19, 1916, pp P.D. Coward, Symbolic execution and testing, IEE Colloquium on Software Testing for Critical Systems, 1990, pp. 2/1-2/3. 4. W.E. Howden, Reliability of the path analysis testing strategy, IEEE Trans. on Software Engineering, Vol. 2, No. 3, 1976,pp B. Beizer, Software Testing Techniques, (2nd ed.). Van Nostrand Rheinold, New York,

6 W.E. Howden, Functional program testing, IEEE Int l Conference on Software and Applications, COMPSAC 78,1978, pp R.A. DeMillo, D.S. Guindi, W.M. McCracken, A.J. Offutt, K.N. King, An extended overview of the Mothra software testing environment, IEEE Workshop on Software Testing, Verification, and Analysis, 1988, pp J. Offutt, A practical system for mutation testing: help for the common programmer, IEEE Int l Test Conference, 1994, pp SystemC User s Guide, Synopsys, CoWare, Frontier Design. G. Jervan, Z. Peng, 0. Goloubeva, M. Sonza Reorda, M. Violante, High-level and hierarchical test sequence generation, IEEE Int. Workshop on High Level Design Validation and Test, October 2002, pp F. Ferrandi, F. Fummi, D. Sciuto, Test Generation and Testability Alternatives Exploration of Critical Algorithms for Embedded Applications, IEEE Trans. on Computers, Vol. 51, No. 2, February 2002, pp D. Monndanos, J.A. Abraham, Y. Hoskote, A Unified Framework for Design Validation and Manifacturing Test, Proc. IEEE International Test Conference, 1996, pp F. Ferrandi, F. Fummi, D. Sciuto, Implicit Test Generation for Behavioral VHDL Models, Proc. IEEE International Test Conference, 1998, pp F. Fallah, P. Ashar, S. Devadas, Simulation Vector Generation from HDL Descriptions for Observability- Enhanced Statement Coverage, Proc. Design Automation Conference, 1999, pp M. Boschini, X. Yu, F. Fummi, E. M. Rudnick, Combining symbolic and genetic techniques for efficient sequential circuit test generation, IEEE European Test Workshop, 2000, pp S. Chiusano, F. Como, P. Prinetto, Exploiting Behavioral Information in Gate level ATPG, JETTA The Journal of Electronic Testing: Theory and Applications, Kluwer Academic Publishers, No. 14, 1999, pp E.M. Rudnick, R. Vietti, A. Ellis, F. Como, P. Prinetto, M. Sonza Reorda, Fast Sequential Circuit Test Generation Using High level and Gate level Techniques, Proceedings IEEE European Design Automation and Test Conference, 1998, pp M.B. Santos, F.M. Goncalves, I.C. Teixeira, J.P. Teixeira, RTL-based functional test generation for high defects coverage in digital SOCs, Proc. IEEE European Test Workshop, 2000, pp F. Como, M. Sonza Reorda, G. Squillero, G., High level Observability for Effective High level ATPG, Proc. 18th IEEE VLSI Test Symposium, 2000, pp J. BouC, P. PCtillon, Y. Crouzer, MERSTO-L A VHDL-Based Fault Injection Tool for the Experimental Assessment of Fault Tolerance, Proc. Int. Symp. on Fault Tolerant Computing, FTCS-28, 1998, pp

HIGH-LEVEL AND HIERARCHICAL TEST SEQUENCE GENERATION

HIGH-LEVEL AND HIERARCHICAL TEST SEQUENCE GENERATION HIGH-LEVEL AND HIERARCHICAL TEST SEQUENCE GENERATION Gert Jervan, Zebo Peng Linköping University Embedded Systems Laboratory Linköping, Sweden Olga Goloubeva, Matteo Sonza Reorda, Massimo Violante Politecnico

More information

Report on automatic generation of test benches from system-level descriptions

Report on automatic generation of test benches from system-level descriptions COTEST/D2 Report on automatic generation of test benches from system-level descriptions Olga GOLOUBEVA, Matteo SONZA REORDA, Massimo VIOLANTE Politecnico di Torino Dipartimento di Automatica e Informatica

More information

Automatic Test Program Generation from RT-level microprocessor descriptions

Automatic Test Program Generation from RT-level microprocessor descriptions Automatic Test Program Generation from RT-level microprocessor descriptions F. Corno, G. Cumani, M. Sonza Reorda, G. Squillero Politecnico di Torino Dipartimento di Automatica e Informatica Torino, Italy

More information

RT-level ITC 99 benchmarks and first ATPG results

RT-level ITC 99 benchmarks and first ATPG results RT-level ITC 99 benchmarks and first ATPG results Fulvio Corno, Matteo Sonza Reorda, Giovanni Squillero Politecnico di Torino Dipartimento di Automatica e Informatica Corso Duca degli Abruzzi 24 10129

More information

Report on benchmark identification and planning of experiments to be performed

Report on benchmark identification and planning of experiments to be performed COTEST/D1 Report on benchmark identification and planning of experiments to be performed Matteo Sonza Reorda, Massimo Violante Politecnico di Torino Dipartimento di Automatica e Informatica Torino, Italy

More information

Automatic Test Bench Generation for Validation of RT-level Descriptions: an Industrial Experience

Automatic Test Bench Generation for Validation of RT-level Descriptions: an Industrial Experience Automatic Test Bench Generation for Validation of RT-level Descriptions: an Industrial Experience F. Corno, M. Sonza Reorda, G. Squillero Politecnico di Torino Dipartimento di Automatica e Informatica

More information

System-level Test and Validation of Hardware/Software Systems

System-level Test and Validation of Hardware/Software Systems M. Sonza Reorda Z. Peng M. Violante (Eds.) System-level Test and Validation of Hardware/Software Systems With 55 Figures Springer Table of Contents Table of Figures List of Contributors ix xi 1 Introduction

More information

Sequential Circuit Test Generation Using Decision Diagram Models

Sequential Circuit Test Generation Using Decision Diagram Models Sequential Circuit Test Generation Using Decision Diagram Models Jaan Raik, Raimund Ubar Department of Computer Engineering Tallinn Technical University, Estonia Abstract A novel approach to testing sequential

More information

A VHDL Error Simulator for Functional Test Generation

A VHDL Error Simulator for Functional Test Generation A Error Simulator for Functional Test Generation Alessandro Fin Franco Fummi DST Informatica Università di Verona, 37134 Verona, ITALY Abstract This paper describes an efficient error simulator able to

More information

Automatic Design Validation Framework for HDL Descriptions via RTL ATPG

Automatic Design Validation Framework for HDL Descriptions via RTL ATPG Automatic Design Validation Framework for HDL Descriptions via RTL ATPG Liang Zhang and Michael Hsiao Department of ECE, Virginia Tech Blacksburg, VA, 24061, USA liang,hsiao @vt.edu Indradeep Ghosh Fujitsu

More information

Accurate Analysis of Single Event Upsets in a Pipelined Microprocessor

Accurate Analysis of Single Event Upsets in a Pipelined Microprocessor Accurate Analysis of Single Event Upsets in a Pipelined Microprocessor M. Rebaudengo, M. Sonza Reorda, M. Violante Politecnico di Torino Dipartimento di Automatica e Informatica Torino, Italy www.cad.polito.it

More information

High-level Variable Selection for Partial-Scan Implementation

High-level Variable Selection for Partial-Scan Implementation High-level Variable Selection for Partial-Scan Implementation FrankF.Hsu JanakH.Patel Center for Reliable & High-Performance Computing University of Illinois, Urbana, IL Abstract In this paper, we propose

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 4(part 2) Testability Measurements (Chapter 6) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Previous lecture What

More information

Multiple Fault Models Using Concurrent Simulation 1

Multiple Fault Models Using Concurrent Simulation 1 Multiple Fault Models Using Concurrent Simulation 1 Evan Weststrate and Karen Panetta Tufts University Department of Electrical Engineering and Computer Science 161 College Avenue Medford, MA 02155 Email:

More information

VLSI Testing. Virendra Singh. Bangalore E0 286: Test & Verification of SoC Design Lecture - 7. Jan 27,

VLSI Testing. Virendra Singh. Bangalore E0 286: Test & Verification of SoC Design Lecture - 7. Jan 27, VLSI Testing Fault Simulation Virendra Singh Indian Institute t of Science Bangalore virendra@computer.org E 286: Test & Verification of SoC Design Lecture - 7 Jan 27, 2 E-286@SERC Fault Simulation Jan

More information

An Efficient Test Relaxation Technique for Synchronous Sequential Circuits

An Efficient Test Relaxation Technique for Synchronous Sequential Circuits An Efficient Test Relaxation Technique for Synchronous Sequential Circuits Aiman El-Maleh and Khaled Al-Utaibi King Fahd University of Petroleum and Minerals Dhahran 326, Saudi Arabia emails:{aimane, alutaibi}@ccse.kfupm.edu.sa

More information

VLSI Testing. Fault Simulation. Virendra Singh. Indian Institute of Science Bangalore

VLSI Testing. Fault Simulation. Virendra Singh. Indian Institute of Science Bangalore VLSI Testing Fault Simulation Virendra Singh Indian Institute of Science Bangalore virendra@computer.org E0 286: Test & Verification of SoC Design Lecture - 4 Jan 25, 2008 E0-286@SERC 1 Fault Model - Summary

More information

THE EXHAUSTIVE TESTING OF FPGA LOGIC CELLS. E. BAREISA KTU, Studentu , Kaunas, Lithuania

THE EXHAUSTIVE TESTING OF FPGA LOGIC CELLS. E. BAREISA KTU, Studentu , Kaunas, Lithuania THE EXHAUSTIVE TESTING OF FPGA LOGIC CELLS E. BAREISA KTU, Studentu 50-406, Kaunas, Lithuania eduardas.bareisa@ktu.lt V. JUSAS KTU, Studentu 50-404, Kaunas, Lithuania vacius.jusas@ktu.lt K. MOTIEJUNAS

More information

Software Techniques for Dependable Computer-based Systems. Matteo SONZA REORDA

Software Techniques for Dependable Computer-based Systems. Matteo SONZA REORDA Software Techniques for Dependable Computer-based Systems Matteo SONZA REORDA Summary Introduction State of the art Assertions Algorithm Based Fault Tolerance (ABFT) Control flow checking Data duplication

More information

PROOFS Fault Simulation Algorithm

PROOFS Fault Simulation Algorithm PROOFS Fault Simulation Algorithm Pratap S.Prasad Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL prasaps@auburn.edu Term Paper for ELEC 7250 (Spring 2005) Abstract This paper

More information

High Speed Fault Injection Tool (FITO) Implemented With VHDL on FPGA For Testing Fault Tolerant Designs

High Speed Fault Injection Tool (FITO) Implemented With VHDL on FPGA For Testing Fault Tolerant Designs Vol. 3, Issue. 5, Sep - Oct. 2013 pp-2894-2900 ISSN: 2249-6645 High Speed Fault Injection Tool (FITO) Implemented With VHDL on FPGA For Testing Fault Tolerant Designs M. Reddy Sekhar Reddy, R.Sudheer Babu

More information

Functional extension of structural logic optimization techniques

Functional extension of structural logic optimization techniques Functional extension of structural logic optimization techniques J. A. Espejo, L. Entrena, E. San Millán, E. Olías Universidad Carlos III de Madrid # e-mail: { ppespejo, entrena, quique, olias}@ing.uc3m.es

More information

VFSim: Concurrent Fault Simulation at Register Transfer Level

VFSim: Concurrent Fault Simulation at Register Transfer Level Mar. 2005, Vol.20, No.2, pp.175 186 J. Comput. Sci. & Technol. VFSim: Concurrent Fault Simulation at Register Transfer Level Li Shen Institute of Computing Technology, Chinese Academy of Sciences, Beijing

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information

FACTOR: A Hierarchical Methodology for Functional Test Generation and Testability Analysis

FACTOR: A Hierarchical Methodology for Functional Test Generation and Testability Analysis FACTOR: A Hierarchical Methodology for Functional Test Generation and Testability Analysis Vivekananda M. Vedula and Jacob A. Abraham Computer Engineering Research Center The University of Texas at Austin

More information

Soft-error Detection Using Control Flow Assertions

Soft-error Detection Using Control Flow Assertions Soft-error Detection Using Control Flow Assertions O. Goloubeva, M. Rebaudengo, M. Sonza Reorda, M. Violante Politecnico di Torino, Dipartimento di Automatica e Informatica Torino, Italy Abstract Over

More information

Sequential Circuit Testing 3

Sequential Circuit Testing 3 Sequential Circuit Testing 3 Recap: Approaches State table analysis Machine identification (checking sequence) method Time-frame expansion Misc. Issues Controlling and observing internal states of a sequential

More information

Type T1: force false. Type T2: force true. Type T3: complement. Type T4: load

Type T1: force false. Type T2: force true. Type T3: complement. Type T4: load Testability Insertion in Behavioral Descriptions Frank F. Hsu Elizabeth M. Rudnick Janak H. Patel Center for Reliable & High-Performance Computing University of Illinois, Urbana, IL Abstract A new synthesis-for-testability

More information

Hardware Design and Simulation for Verification

Hardware Design and Simulation for Verification Hardware Design and Simulation for Verification by N. Bombieri, F. Fummi, and G. Pravadelli Universit`a di Verona, Italy (in M. Bernardo and A. Cimatti Eds., Formal Methods for Hardware Verification, Lecture

More information

outline Reliable State Machines MER Mission example

outline Reliable State Machines MER Mission example outline Reliable State Machines Dr. Gary R Burke California Institute of Technology Jet Propulsion Laboratory Background JPL MER example JPL FPGA/ASIC Process Procedure Guidelines State machines Traditional

More information

VLSI System Testing. Fault Simulation

VLSI System Testing. Fault Simulation ECE 538 VLSI System Testing Krish Chakrabarty Fault Simulation ECE 538 Krish Chakrabarty Fault Simulation Problem and motivation Fault simulation algorithms Serial Parallel Deductive Concurrent Random

More information

N-Model Tests for VLSI Circuits

N-Model Tests for VLSI Circuits 40th Southeastern Symposium on System Theory University of New Orleans New Orleans, LA, USA, March 16-18, 2008 MC3.6 N-Model Tests for VLSI Circuits Nitin Yogi and Vishwani D. Agrawal Auburn University,

More information

A Parametric Design of a Built-in Self-Test FIFO Embedded Memory

A Parametric Design of a Built-in Self-Test FIFO Embedded Memory A Parametric Design of a Built-in Self-Test FIFO Embedded Memory S. Barbagallo, M. Lobetti Bodoni, D. Medina G. De Blasio, M. Ferloni, F.Fummi, D. Sciuto DSRC Dipartimento di Elettronica e Informazione

More information

Applying March Tests to K-Way Set-Associative Cache Memories

Applying March Tests to K-Way Set-Associative Cache Memories 13th European Test Symposium Applying March Tests to K-Way Set-Associative Cache Memories Simone Alpe, Stefano Di Carlo, Paolo Prinetto, Alessandro Savino Politecnico di Torino, Dep. of Control and Computer

More information

Application of Binary Decision Diagram in digital circuit analysis.

Application of Binary Decision Diagram in digital circuit analysis. Application of Binary Decision Diagram in digital circuit analysis. Jyoti Kukreja University of Southern California For Dr. James Ellison Abstract: Binary Decision Diagrams (BDDs) are one of the biggest

More information

Fault Simulation. Problem and Motivation

Fault Simulation. Problem and Motivation Fault Simulation Problem and Motivation Fault Simulation Problem: Given A circuit A sequence of test vectors A fault model Determine Fault coverage Fraction (or percentage) of modeled faults detected by

More information

A Controller Testability Analysis and Enhancement Technique

A Controller Testability Analysis and Enhancement Technique A Controller Testability Analysis and Enhancement Technique Xinli Gu Erik Larsson, Krzysztof Kuchinski and Zebo Peng Synopsys, Inc. Dept. of Computer and Information Science 700 E. Middlefield Road Linköping

More information

Fault Tolerant and BIST design of a FIFO cell

Fault Tolerant and BIST design of a FIFO cell Fault Tolerant and design of a FIFO cell F. Corno, P. Prinetto, M. Sonza Reorda Politecnico di Torino Dipartimento di Automatica e Informatica Torino, Italy Abstract * This paper presents a design of a

More information

Coverage Metrics for Post-Silicon Validation. Tim Cheng, Ming Gao, and Peter Lisherness Univ. of California, Santa Barbara

Coverage Metrics for Post-Silicon Validation. Tim Cheng, Ming Gao, and Peter Lisherness Univ. of California, Santa Barbara Coverage Metrics for Post-Silicon Validation Tim Cheng, Ming Gao, and Peter Lisherness Univ. of California, Santa Barbara Coverage Metrics for Post-Si Validation PI: Cheng 2 Inadequacy of Existing Metrics

More information

FAULT MODELING OF COMBINATIONAL AND SEQUENTIAL CIRCUITS AT REGISTER TRANSFER LEVEL

FAULT MODELING OF COMBINATIONAL AND SEQUENTIAL CIRCUITS AT REGISTER TRANSFER LEVEL FAULT MODELING OF COMBINATIONAL AND SEQUENTIAL CIRCUITS AT REGISTER TRANSFER LEVEL M.S.Suma 1 and K.S.Gurumurthy 2 1 Department of Electronics and Communication Engineering, R.V.College of Engineering,

More information

Exploiting Off-Line Hierarchical Test Paths in Module Diagnosis and On-Line Test

Exploiting Off-Line Hierarchical Test Paths in Module Diagnosis and On-Line Test Exploiting Off-Line Hierarchical Paths in Diagnosis and On-Line lu Reliable Systems Synthesis Lab Computer Science & Engineering Department University of California San Diego 9500 Gilman Drive MC-0114

More information

Metodologie di progetto HW Il test di circuiti digitali

Metodologie di progetto HW Il test di circuiti digitali Metodologie di progetto HW Il test di circuiti digitali Introduzione Versione del 9/4/8 Metodologie di progetto HW Il test di circuiti digitali Introduction VLSI Realization Process Customer s need Determine

More information

Metodologie di progetto HW Il test di circuiti digitali

Metodologie di progetto HW Il test di circuiti digitali Metodologie di progetto HW Il test di circuiti digitali Introduzione Versione del 9/4/8 Metodologie di progetto HW Il test di circuiti digitali Introduction Pag. 2 VLSI Realization Process Customer s need

More information

Evaluating the Fault Tolerance Capabilities of Embedded Systems via BDM

Evaluating the Fault Tolerance Capabilities of Embedded Systems via BDM Evaluating the Fault Tolerance Capabilities of Embedded Systems via BDM M. Rebaudengo, M. Sonza Reorda Politecnico di Torino Dipartimento di Automatica e Informatica Torino, Italy Fault tolerant system

More information

An Efficient Method for Multiple Fault Diagnosis

An Efficient Method for Multiple Fault Diagnosis An Efficient Method for Multiple Fault Diagnosis Khushboo Sheth Department of Electrical and Computer Engineering Auburn University, Auburn, AL Abstract: In this paper, failing circuits are analyzed and

More information

A New Optimal State Assignment Technique for Partial Scan Designs

A New Optimal State Assignment Technique for Partial Scan Designs A New Optimal State Assignment Technique for Partial Scan Designs Sungju Park, Saeyang Yang and Sangwook Cho The state assignment for a finite state machine greatly affects the delay, area, and testabilities

More information

On Using Machine Learning for Logic BIST

On Using Machine Learning for Logic BIST On Using Machine Learning for Logic BIST Christophe FAGOT Patrick GIRARD Christian LANDRAULT Laboratoire d Informatique de Robotique et de Microélectronique de Montpellier, UMR 5506 UNIVERSITE MONTPELLIER

More information

Reduced Delay BCD Adder

Reduced Delay BCD Adder Reduced Delay BCD Adder Alp Arslan Bayrakçi and Ahmet Akkaş Computer Engineering Department Koç University 350 Sarıyer, İstanbul, Turkey abayrakci@ku.edu.tr ahakkas@ku.edu.tr Abstract Financial and commercial

More information

Efficient Algorithm for Test Vector Decompression Using an Embedded Processor

Efficient Algorithm for Test Vector Decompression Using an Embedded Processor Efficient Algorithm for Test Vector Decompression Using an Embedded Processor Kamran Saleem and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University

More information

Lecture 3 - Fault Simulation

Lecture 3 - Fault Simulation Lecture 3 - Fault Simulation Fault simulation Algorithms Serial Parallel Deductive Random Fault Sampling Problem and Motivation Fault simulation Problem: Given A circuit A sequence of test vectors A fault

More information

Efficient Sequential ATPG for Functional RTL Circuits

Efficient Sequential ATPG for Functional RTL Circuits Efficient Sequential ATPG for Functional RTL Circuits Liang Zhang, Indradeep Ghosh, and Michael Hsiao Department of ECE, Virginia Tech, Blacksburg, VA, liang, hsiao @vt.edu Fujitsu Labs. of America Inc.,

More information

ASIC world. Start Specification Design Verification Layout Validation Finish

ASIC world. Start Specification Design Verification Layout Validation Finish AMS Verification Agenda ASIC world ASIC Industrial Facts Why Verification? Verification Overview Functional Verification Formal Verification Analog Verification Mixed-Signal Verification DFT Verification

More information

A Low-Cost Correction Algorithm for Transient Data Errors

A Low-Cost Correction Algorithm for Transient Data Errors A Low-Cost Correction Algorithm for Transient Data Errors Aiguo Li, Bingrong Hong School of Computer Science and Technology Harbin Institute of Technology, Harbin 150001, China liaiguo@hit.edu.cn Introduction

More information

12. Use of Test Generation Algorithms and Emulation

12. Use of Test Generation Algorithms and Emulation 12. Use of Test Generation Algorithms and Emulation 1 12. Use of Test Generation Algorithms and Emulation Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin

More information

Observability Analysis of Embedded Software for Coverage-Directed Validation

Observability Analysis of Embedded Software for Coverage-Directed Validation Observability Analysis of Embedded Software for Coverage-Directed Validation José C. Costa Srinivas Devadas José C. Monteiro IST/INESC MIT IST/INESC The most common approach to checking correctness of

More information

Observability Analysis of Embedded Software for Coverage-Directed Validation

Observability Analysis of Embedded Software for Coverage-Directed Validation Observability Analysis of Embedded Software for Coverage-Directed Validation José C. Costa Srinivas Devadas José C. Monteiro IST/INESC MIT IST/INESC The most common approach to checking correctness of

More information

Controller Synthesis for Hardware Accelerator Design

Controller Synthesis for Hardware Accelerator Design ler Synthesis for Hardware Accelerator Design Jiang, Hongtu; Öwall, Viktor 2002 Link to publication Citation for published version (APA): Jiang, H., & Öwall, V. (2002). ler Synthesis for Hardware Accelerator

More information

On the Automatic Generation of Software-Based Self-Test Programs for Functional Test and Diagnosis of VLIW Processors

On the Automatic Generation of Software-Based Self-Test Programs for Functional Test and Diagnosis of VLIW Processors On the Automatic Generation of Software-Based Self-Test Programs for Functional Test and Diagnosis of VLIW Processors Davide Sabena, Luca Sterpone, and Matteo Sonza Reorda Dipartimento di Automatica e

More information

Advanced Digital Logic Design EECS 303

Advanced Digital Logic Design EECS 303 Advanced igital Logic esign EECS 33 http://ziyang.eecs.northwestern.edu/eecs33/ Teacher: Robert ick Office: L477 Tech Email: dickrp@northwestern.edu Phone: 847 467 2298 Outline. 2. 2 Robert ick Advanced

More information

Evaluating the Fault Tolerance Capabilities of Embedded Systems via BDM

Evaluating the Fault Tolerance Capabilities of Embedded Systems via BDM Evaluating the Fault Tolerance Capabilities of Embedded Systems via BDM M. Rebaudengo, M. Sonza Reorda Politecnico di Torino Dipartimento di Automatica e Informatica Torino, Italy Abstract * Fault Injection

More information

RTL Scan Design for Skewed-Load At-Speed Test under Power Constraints

RTL Scan Design for Skewed-Load At-Speed Test under Power Constraints RTL Scan Design for Skewed-Load At-Speed Test under Power Constraints Ho Fai Ko and Nicola Nicolici Department of Electrical and Computer Engineering McMaster University, Hamilton, ON, L8S 4K1, Canada

More information

A Fault Model for VHDL Descriptions at the Register Transfer Level *

A Fault Model for VHDL Descriptions at the Register Transfer Level * A Model for VHDL Descriptions at the Register Transfer Level * Abstract This paper presents a model for VHDL descriptions at the Register Transfer Level and its evaluation with respect to a logic level

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

SOFTWARE-IMPLEMENTED HARDWARE FAULT TOLERANCE

SOFTWARE-IMPLEMENTED HARDWARE FAULT TOLERANCE SOFTWARE-IMPLEMENTED HARDWARE FAULT TOLERANCE SOFTWARE-IMPLEMENTED HARDWARE FAULT TOLERANCE O. Goloubeva, M. Rebaudengo, M. Sonza Reorda, and M. Violante Politecnico di Torino - Dipartimento di Automatica

More information

Design and Synthesis for Test

Design and Synthesis for Test TDTS 80 Lecture 6 Design and Synthesis for Test Zebo Peng Embedded Systems Laboratory IDA, Linköping University Testing and its Current Practice To meet user s quality requirements. Testing aims at the

More information

Testing Embedded Cores Using Partial Isolation Rings

Testing Embedded Cores Using Partial Isolation Rings Testing Embedded Cores Using Partial Isolation Rings Nur A. Touba and Bahram Pouya Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas, Austin, TX

More information

Soft-Core Embedded Processor-Based Built-In Self- Test of FPGAs: A Case Study

Soft-Core Embedded Processor-Based Built-In Self- Test of FPGAs: A Case Study Soft-Core Embedded Processor-Based Built-In Self- Test of FPGAs: A Case Study Bradley F. Dutton, Graduate Student Member, IEEE, and Charles E. Stroud, Fellow, IEEE Dept. of Electrical and Computer Engineering

More information

Input Stimuli Evolution for RFID Tag Functional Verification

Input Stimuli Evolution for RFID Tag Functional Verification Input Stimuli Evolution for RFID Tag Functional Verification Hiva Assasi, Amin Farmahini-Farahani, Mahdi Hamzeh, Siamak Mohammadi, Caro Lucas School of Electrical and Computer Engineering University of

More information

A Macro Generator for Arithmetic Cores

A Macro Generator for Arithmetic Cores A Macro Generator for Arithmetic Cores D. Bakalis 1,2, M. Bellos 1, H. T. Vergos 1,2, D. Nikolos 1,2 & G. Alexiou 1,2 1 Computer Engineering and Informatics Dept., University of Patras, 26 500, Rio, Greece

More information

A Data Parallel Algorithm for Boolean Function Manipulation

A Data Parallel Algorithm for Boolean Function Manipulation A Data Parallel Algorithm for Boolean Function Manipulation S. Gai, M. Rebaudengo, M. Sonza Reorda Politecnico di Torino Dipartimento di Automatica e Informatica Torino, Italy Abstract * This paper describes

More information

A High Performance Bus Communication Architecture through Bus Splitting

A High Performance Bus Communication Architecture through Bus Splitting A High Performance Communication Architecture through Splitting Ruibing Lu and Cheng-Kok Koh School of Electrical and Computer Engineering Purdue University,West Lafayette, IN, 797, USA {lur, chengkok}@ecn.purdue.edu

More information

Area Delay Power Efficient Carry-Select Adder

Area Delay Power Efficient Carry-Select Adder Area Delay Power Efficient Carry-Select Adder Pooja Vasant Tayade Electronics and Telecommunication, S.N.D COE and Research Centre, Maharashtra, India ---------------------------------------------------------------------***---------------------------------------------------------------------

More information

TEST DATA COMPRESSION BASED ON GOLOMB CODING AND TWO-VALUE GOLOMB CODING

TEST DATA COMPRESSION BASED ON GOLOMB CODING AND TWO-VALUE GOLOMB CODING TEST DATA COMPRESSION BASED ON GOLOMB CODING AND TWO-VALUE GOLOMB CODING Priyanka Kalode 1 and Mrs. Richa Khandelwal 2 1 Department of Electronics Engineering, Ramdeobaba college of Engg and Mgt, Nagpur

More information

Preizkušanje elektronskih vezij

Preizkušanje elektronskih vezij Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Preizkušanje elektronskih vezij Generacija testnih vzorcev Test pattern generation Overview Introduction Theoretical

More information

Evaluating Debugging Algorithms from a Qualitative Perspective

Evaluating Debugging Algorithms from a Qualitative Perspective 1 Evaluating Debugging Algorithms from a Qualitative Perspective Alexander Finder Görschwin Fey Group of Computer Architecture University of Bremen, 28359 Bremen, Germany {final, fey}@informatik.uni-bremen.de

More information

RTL Power Estimation and Optimization

RTL Power Estimation and Optimization Power Modeling Issues RTL Power Estimation and Optimization Model granularity Model parameters Model semantics Model storage Model construction Politecnico di Torino Dip. di Automatica e Informatica RTL

More information

Bibliography. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, Practical Software Reuse, Donald J. Reifer, Wiley, 1997.

Bibliography. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, Practical Software Reuse, Donald J. Reifer, Wiley, 1997. Bibliography Books on software reuse: 1. 2. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, 1997. Practical Software Reuse, Donald J. Reifer, Wiley, 1997. Formal specification and verification:

More information

Effective Software-Based Self-Testing for CMOS VLSI Processors

Effective Software-Based Self-Testing for CMOS VLSI Processors Effective Software-Based Self-Testing for CMOS VLSI Processors Nektarios KRANITIS Abstract. Processor testing approaches based on the execution of self-test programs have been recently proposed as an effective

More information

Testability Analysis and Improvement from VHDL Behavioral Specifications

Testability Analysis and Improvement from VHDL Behavioral Specifications Testability Analysis and Improvement from VHDL Behavioral Specifications Xinli Gu, Krzysztof Kuchcinski, Zebo Peng Dept. of Computer and Information Science Linköping University S-581 83 Linköping, Sweden

More information

Using SystemC to Implement Embedded Software

Using SystemC to Implement Embedded Software Using SystemC to Implement Embedded Software Brijesh Sirpatil James M. Baker, Jr. James R. Armstrong Bradley Department of Electrical and Computer Engineering, Virginia Tech, Blacksburg, VA Abstract This

More information

International Journal of Digital Application & Contemporary research Website: (Volume 1, Issue 7, February 2013)

International Journal of Digital Application & Contemporary research Website:   (Volume 1, Issue 7, February 2013) Programmable FSM based MBIST Architecture Sonal Sharma sonal.sharma30@gmail.com Vishal Moyal vishalmoyal@gmail.com Abstract - SOCs comprise of wide range of memory modules so it is not possible to test

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 8 (1) Delay Test (Chapter 12) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Learning aims Define a path delay fault

More information

Test-Architecture Optimization and Test Scheduling for SOCs with Core-Level Expansion of Compressed Test Patterns

Test-Architecture Optimization and Test Scheduling for SOCs with Core-Level Expansion of Compressed Test Patterns Test-Architecture Optimization and Test Scheduling for SOCs with Core-Level Expansion of Compressed Test Patterns Anders Larsson, Erik Larsson, Krishnendu Chakrabarty *, Petru Eles, and Zebo Peng Embedded

More information

Keywords: HDL, Hardware Language, Digital Design, Logic Design, RTL, Register Transfer, VHDL, Verilog, VLSI, Electronic CAD.

Keywords: HDL, Hardware Language, Digital Design, Logic Design, RTL, Register Transfer, VHDL, Verilog, VLSI, Electronic CAD. HARDWARE DESCRIPTION Mehran M. Massoumi, HDL Research & Development, Averant Inc., USA Keywords: HDL, Hardware Language, Digital Design, Logic Design, RTL, Register Transfer, VHDL, Verilog, VLSI, Electronic

More information

Novel Implementation of Low Power Test Patterns for In Situ Test

Novel Implementation of Low Power Test Patterns for In Situ Test Novel Implementation of Low Power Test Patterns for In Situ Test K.Ramya 1, Y.N.S.Vamsi Mohan 2, S.V.S.M.Madhulika 3 1 M.Tech Student, Department of ECE,Bonam Venkata Chalamayya Institute of Technology

More information

Nanometer technologies enable higher-frequency designs

Nanometer technologies enable higher-frequency designs By Ron Press & Jeff Boyer Easily Implement PLL Clock Switching for At-Speed Test By taking advantage of pattern-generation features, a simple logic design can utilize phase-locked-loop clocks for accurate

More information

EDA Tool Development to Support the Design and. Certification of Fail-Safe Products

EDA Tool Development to Support the Design and. Certification of Fail-Safe Products EDA Tool Development to Support the Design and Certification of Fail-Safe Products F.M. Gonçalves, M.B. Santos, I.C. Teixeira and J.P. Teixeira IST / INESC-ID, Rua Alves Redol, 9, 1000-029 Lisboa, Portugal

More information

Design of a Totally Self Checking Signature Analysis Checker for Finite State Machines

Design of a Totally Self Checking Signature Analysis Checker for Finite State Machines Design of a Totally Self Checking Signature Analysis Checker for Finite State Machines M. Ottavi, G. C. Cardarilli, D. Cellitti, S. Pontarelli, M. Re, A. Salsano Department of Electronic Engineering University

More information

Modeling and Simulation of Microcode-based Built-In Self Test for Multi-Operation Memory Test Algorithms

Modeling and Simulation of Microcode-based Built-In Self Test for Multi-Operation Memory Test Algorithms IJCSI International Journal of Computer Science Issues, Vol. 7, Issue 3,. 2, May 2010 36 Modeling and Simulation of Microcode-based Built-In Self Test for Multi-Operation Memory Test Algorithms Dr. R.K.

More information

Lecture 7 Fault Simulation

Lecture 7 Fault Simulation Lecture 7 Fault Simulation Problem and motivation Fault simulation algorithms Serial Parallel Deductive Concurrent Random Fault Sampling Summary Copyright 2, Agrawal & Bushnell VLSI Test: Lecture 7 Problem

More information

Automated Formal Verification of X Propagation with Respect to Testability Issues

Automated Formal Verification of X Propagation with Respect to Testability Issues Automated Formal Verification of X Propagation with Respect to Testability Issues Mehdi Dehbashi Daniel Tille Ulrike Pfannkuchen Stephan Eggersglüß Institute of Computer Science, University of Bremen,

More information

A Partition-Based Approach for Identifying Failing Scan Cells in Scan-BIST with Applications to System-on-Chip Fault Diagnosis

A Partition-Based Approach for Identifying Failing Scan Cells in Scan-BIST with Applications to System-on-Chip Fault Diagnosis A Partition-Based Approach for Identifying Failing Scan Cells in Scan-BIST with Applications to System-on-Chip Fault Diagnosis Chunsheng Liu and Krishnendu Chakrabarty Department of Electrical & Computer

More information

At-Speed Scan Test with Low Switching Activity

At-Speed Scan Test with Low Switching Activity 21 28th IEEE VLSI Test Symposium At-Speed Scan Test with Low Switching Activity Elham K. Moghaddam Department of ECE, University of Iowa, Iowa City, IA 52242 ekhayatm@engineering.uiowa.edu Janusz Rajski

More information

6 DESIGN FOR TESTABILITY I: FROM FULL SCAN TO PARTIAL SCAN

6 DESIGN FOR TESTABILITY I: FROM FULL SCAN TO PARTIAL SCAN 94 Advances in Microelectronics 6 DESIGN FOR TESTABILITY I: FROM FULL SCAN TO PARTIAL SCAN Chia Yee Ooi 6.1 CONTEXT It is important to check whether the manufactured circuit has physical defects or not.

More information

Behavioral Fault Simulation and ATPG System for VHDL

Behavioral Fault Simulation and ATPG System for VHDL Behavioral Fault Simulation and ATPG System for VHDL Tim H. Noh ChienIn Henry Chent Soon M. Chung ELX/Custom Microelectronics Dept. of Electrical Eng'g Dept. of Computer Science & Eng'g Defense Electronics

More information

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions Logic Synthesis Overview Design flow Principles of logic synthesis Logic Synthesis with the common tools Conclusions 2 System Design Flow Electronic System Level (ESL) flow System C TLM, Verification,

More information

ATPG for Faults Analysis in VLSI Circuits Using Immune Genetic Algorithm

ATPG for Faults Analysis in VLSI Circuits Using Immune Genetic Algorithm ATPG for Faults Analysis in VLSI Circuits Using Immune Genetic Algorithm P.K.Chakrabarty 1, S.N.Patnaik 2 1Professor, Department of CSE., IT,BHU, India 2Asst.Professor, ECE Department, DRIEMS, Cuttack,

More information

TEST FUNCTION SPECIFICATION IN SYNTHESIS

TEST FUNCTION SPECIFICATION IN SYNTHESIS TEST FUNCTION SPECIFICATION IN SYNTHESIS Vishwani D. Agrawal and Kwang-Ting Cbeng AT&T Bell Laboratories Murray Hill, New Jersey 07974 ABSTRACT - We present a new synthesis for testability method in which

More information

ExMAn: A Generic and Customizable Framework for Experimental Mutation Analysis

ExMAn: A Generic and Customizable Framework for Experimental Mutation Analysis ExMAn: A Generic and Customizable Framework for Experimental Mutation Analysis Technical Report 2006-519 Jeremy S. Bradbury, James R. Cordy, Juergen Dingel School of Computing, Queen s University Kingston,

More information

Test Set Compaction Algorithms for Combinational Circuits

Test Set Compaction Algorithms for Combinational Circuits Proceedings of the International Conference on Computer-Aided Design, November 1998 Set Compaction Algorithms for Combinational Circuits Ilker Hamzaoglu and Janak H. Patel Center for Reliable & High-Performance

More information