University of Florida EEL 4744 Spring 2011 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering 31 March Apr-11 1:29 PM

Size: px
Start display at page:

Download "University of Florida EEL 4744 Spring 2011 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering 31 March Apr-11 1:29 PM"

Transcription

1 University of Florida EE 4744 Spring 2011 Dr. Eric M. Schwartz Page 1/15 Exam 2 Go Gators! Instructions: Turn off cell phones beepers and other noise making devices. Show all work on the front of the test papers. If you need more room make a clearly indicated note on the front of the page "MORE ON BACK" and use the back. The back of the page will not be graded without an indication on the front. You may use any of your TI documents with limited added material; highlighting and tagging is permissible. You may not use any notes (mine or yours) examples homework labs books calculators computer electronic devices etc. Put your name at the top of each test page and be sure your exam consists of 15 distinct pages. The space provided does not necessarily represent the amount of writing necessary. You must pledge and sign this page in order for a grade to be assigned. I n programs the use of comments results in more partial credit. Read each question carefully and follow the instructions. The point values for problems may be changed at prof s discretion. Part of your grade on tests quizzes labs etc. is based not only on solving the problem you are presented with but the manner in which you solve it. For example there is a difference between two programs that meet the given specifications but one is an elegant extensible 20-line solution while the other is an obfuscated 100-line program that also meets the specifications but would be difficult to extend later. Just as your future employer would value the latter program less than the first so will I in grading your assignments. This exam counts for % of your total grade. Unless otherwise stated assume the following: The oscillator frequency is precisely 15 Mz. The code should run on a TMS320F2335 as configure on the UF F2335 Development Board without any additional peripherals. You may assume all the bit.sets that you may need have already been Good luck! done; use the standard I have used in class and on the posted web examples (e.g. BIT0 = b BIT76 = b INV7 = b INV15_6 = b). PEDGE: On my honor as a University of Florida student I certify that I have neither given nor received any aid on this examination nor I have seen anyone else do so. PRINT YOUR NAME SIGN YOUR NAME DATE (31 Mar 11) Relax! May the Schwartz be with you! Regrade comments below. Give page # & problem # and reason for the petition. Pages Available Points TOTA 100

2 University of Florida EE 4744 Spring 2011 Dr. Eric M. Schwartz Page 2/15 Exam 2 [25%] 1. The UF4744 is a new microcontroller 2 min very much like the 6C11 and 6C12 microcontrollers discussed in class. Some relevant differences are discussed here. The timing diagrams for reading and writing are given. ike the 611 and 612 the UF4744 has a timemultiplexed address/data bus. Note the two ECK-like signals on this device F1 and F2. Reset (not shown) is active-low for this device. (3%) a) Circle all the critical times on the 2 min Read Cycle and Write Cycle diagrams. abel them 1 2 etc. Describe each labeled circle below (4%) b) The entire address range of 0x9000 through 0xFFFF is available for an 3 min -bit input port (using D7-D0) and a 16-bit output port and 16k x SRAM (using D7-D0). In part c you will design a circuit to add these components. The two ports should be accessible from all addresses in the range 0x9000-0xAFFF. The SRAM should use some or all of the remaining available addresses (0xB000-0xFFFF). Fill in the below table to help you solve this problem. Do not use more address decoding than absolutely necessary i.e. use partial address decoding. InPort Addr Range: 0x9000-0xAFFF = B - B OutPort Addr Range: 0x9000-0xAFFF = B - B 16K RAM Addr Range: 0x - 0x = B - B F1 F2 R/~W A7-A0 / D7-D0 A15-A / D15-D F1 F2 R/~W A7-A0 / D7-D0 A15-A / D15-D??? A7-A0 A15-A??? A7-A0 A15-A UF4744 Read Cycle UF4744 Write Cycle D7-D0 D15-D D7-D0 D15-D

3 University of Florida EE 4744 Spring 2011 Dr. Eric M. Schwartz Page 3/15 Exam 2 (1%) 1. c) Complete the circuit diagram below in order to add the -bit input port and a 16-bit output port and 16k x SRAM described on the previous page. Please USE ABES 10 min instead of wires! Please USE ABES instead of wires! Addr 7-0 / D 7-0 Addr 15-/ D 15- R R/~W W F1 F2 Reset UF k x RAM A -A 0 D 7 -D 0 D 7-0 G -bit atch Q 7-0 D 7-0 G -bit atch Q 7-0 WE OE CS -bit Tri-State In 7-0 Out 7-0 OE -bit Tri-State In 7-0 Out 7-0 OE -bit Flip-Flop D 7 -D 0 Q 7 -Q 0 -bit Flip-Flop D 7 -D 0 Q 7 -Q 0

4 University of Florida EE 4744 Spring 2011 Dr. Eric M. Schwartz Page 4/15 Exam 2 [39%] 2. In the next several sections you will write the entire program to send a message out of the SCI-A (NOT SCI-B) serial port of your TI DSP every time (ASCII value 0x40) is 5 min received. This code should never stop executing but should be interrupt driven so that other functions could be running simultaneously. In the next several sections you will two an SCI initialization subroutines an In_Char interrupt service routine an Out_Char subroutine and Out_Msg (out message) subroutine and define all the necessary registers constants and variables. Assume that the main routine has already been written. It takes care of the EAOW the watchdog timer and setting OBJMODE. It also calls the subroutines of parts a and b i.e. INIT_SCIA and INIT_SCIA_INTR and then runs an endless loop. (5%) a) Define all the register definitions e.g. SCIACCR and also constants and variables that you will need in the subsequent sections. You may need to return to this part after completed each of the next sections of this problem. abels Instructions abels Instructions

5 University of Florida EE 4744 Spring 2011 Dr. Eric M. Schwartz Page 5/15 Exam 2 (10%) 2. b) Write an SCI-A initialization subroutine (INIT_SCIA) to turn on the transmitter turn on the receiver turn on the interrupt for the receiver use -bits of data 1 start bit 1 stop bit 15 min no parity and run at 20 kz. For this problem assume the external oscillator frequency is of the DSP is 10 Mz. Show your calculations for the baud rate related values. Also appropriately define any necessary initialization values e.g. SPCK back in part a. abels Instructions Comments

6 University of Florida EE 4744 Spring 2011 Dr. Eric M. Schwartz Page 6/15 Exam 2 (%) 2. c) Write a subroutine (INIT_SCIA_INTR) to appropriately initialize the SCI-A interrupt system for the serial receiver. This should include initializing the interrupt service routine 10 min vector the PIE and anything else necessary to be able to use the interrupt when this routine is completed. Assume the interrupt service routine is called ISR_SCIA_RX. Also appropriately define any necessary initialization values e.g. SCIA_VECTOR back in part a. abels Instructions Comments

7 University of Florida EE 4744 Spring 2011 Dr. Eric M. Schwartz Page 7/15 Exam 2 (%) 2. d) Write an interrupt service routine (ISR_SCIA_RX) to read a single character. If the character is (ASCII value 0x40) call subroutine OUT_MSG; otherwise do not 10 min call OUT_MSG. Also appropriately define any necessary initialization values back in part a. abels Instructions Comments

8 University of Florida EE 4744 Spring 2011 Dr. Eric M. Schwartz Page /15 Exam 2 (%) 10 min 2. e) Assume that a subroutine (OUT_CAR) that outputs a single character to the SCI-A transmit pin has already been written. This routine will check if the previously transmitted character has been completed; if not it keep checking until it has been completed. OUT_CAR transmits the character passed to this subroutine in the A register. Write a subroutine (OUT_MSG) to output the string (list of characters) in a table at address 0x4237. All strings will end with an end-of-string (EOS) character 0x00. (int: Use OUT_CAR in this subroutine.) Also appropriately define any necessary initialization values back in part a. abels Instructions Comments

9 University of Florida EE 4744 Spring 2011 Dr. Eric M. Schwartz Page 9/15 Exam 2 [22%] 3. An analog to digital converter (ADC) system use 4 bits and has a low reference of 1V and a high reference of 6V. Show all work in each of the problems below. (6%) a) Derive a formula to determine the voltage (in decimal) from the 4-bit ADC value (in decimal) v 10 = f( ADC 10 ). 3 min (1%) b) What is the voltage (in decimal) if ADC = ? 1 min (2%) c) What is the ADC 10 in value if v = 1.67 V? 2 min v = v = ADC = (13%) d) In lab 6 there were two suggested techniques to determine how to display the appropriate 12 min decimal values on the CD panel. You can answer either sets of questions 1-3 or 4-6 one relating to each of the two techniques. In 1-3 you assume that there is a table where the required info is stored. You will determine the voltage to be displayed with one digit to the right and one to the left of the decimal point e.g. 3.7 V. Answer the questions 1-3 dealing with this technique. OR OR OR OR OR OR OR OR In 4-6 you write programs to directly convert an ADC value to ASCII characters (displayed with one digit to the right and one to the left of the decimal point e.g. 3.7 V) in 3 steps. You will write code for each of these steps. Answer questions 4-6 dealing with this technique.

10 University of Florida EE 4744 Spring 2011 Dr. Eric M. Schwartz Page 10/15 Exam 2 (13%) 3. d) 1-3: Table ookup 12 min Assume that there is a table where the required info is stored. You will determine the voltage to be displayed with one digit to the right and one to the left of the decimal point e.g. 3.7 V 1. Assume that you are storing only ASCII values in the table. What is the minimum number of bytes abels that need to be stored for each ADC value? Describe these bytes. Instructions 2. Show the first 10 ASCII data values data for the table in a form that could be used in an assembly language program. 3. Write as assembly language program fragment to point to the value in the table corresponding to the ADC value stored in A. The resulting address should be in AR0. abels Instructions Comments

11 University of Florida EE 4744 Spring 2011 Dr. Eric M. Schwartz Page 11/15 Exam 2 (13%) 3. d) 4-6: Algorithms 14 min Write programs to directly convert an ADC value to ASCII characters (displayed with one digit to the right and one to the left of the decimal point e.g. 3.7 V) in 3 steps. You will write code for each of these steps. (If desired you can write the entire program fragment below i.e. you do not have to do it in the steps specified. Just circle this note.) 4. Write an assembly language program fragment to determine the 2-digit voltage (e.g. 37 for 3.7V) from a 4-bit ADC value. (Derive a formula for calculating the voltage and then write the code.) abels Instructions Comments

12 University of Florida EE 4744 Spring 2011 Dr. Eric M. Schwartz Page 12/15 Exam 2 3. d) 5. Write an assembly language program fragment to determine the 2 digits (in decimal) of the value determined in part 4. abels Instructions Comments d) 6. Write an assembly language subroutine to convert a 4-bit binary number (0-9 in decimal) in A to an ASCII character i.e. DEC_TO_ASCII also in A. abels Instructions Comments DEC_TO_ASCII

13 University of Florida EE 4744 Spring 2011 Dr. Eric M. Schwartz Page 13/15 Exam 2 [12%] 4. The below questions deal with an CD with the following specifications. You will write pseudo-code for this problem. Assume the following pseudo-code instructions exist: 5 min Delay(microseconds) and Write_GPIO6:0(data). For example the following might be part of your pseudo-code. Comments are helpful. Delay(10) Write_GPIO6:0(0x7F) Delay(20) Write_GPIO6:0(0x7E) // Delay 10µs for www // Comment xxx here // Delay 20µs for yyy // Comment zzz here Symbol I/O Function C/~D I Register Select 0: Data Register 1: Command Register R/~W I Read/Write 0: Data Write 1: Data Read ~E I Enable Signal Active ow DB4 I/O Bi-directional data bus line DB5 I/O Bi-directional data bus line DB6 I/O Bi-directional data bus line DB7 I/O Bi-directional data bus line GPIO 6 GPIO 5 GPIO 4 GPIO 3:0 4 UF-4744 CD C/~D R/~W ~E D 7 -D 4 Commands Codes Delay After Each Nibble 0x44 0x45 4-Bit mode initialization (NOTE: must be Note: Delay 15 ms the first command and takes two bytes) (not 10ms) between 10 ms these bytes Cursor to ome 0x0D 50 µs Cursor shift left 0x0E 50 µs Cursor shift right 0x0F 50 µs Clear display cursor to home 0x10 50 µs Move cursor to beginning of second row 0x11 50 µs Blink Cursor 0x12 50 µs C/~D R/~W CD Write Diagram ~E D7:4 10 µs Valid Data > 3 µs

14 5 min University of Florida EE 4744 Spring 2011 Dr. Eric M. Schwartz Page 14/15 Exam 2 (%) 4. a) Using the given functions write the pseudo-code to initialize the CD for 4-bit mode and cursor located at home. Pseudo-Code Comments Pseudo-Code Comments

15 5 min University of Florida EE 4744 Spring 2011 Dr. Eric M. Schwartz Page 15/15 Exam 2 (6%) 4. b) Using the given functions write the pseudo-code to display the characters U and then F. The ASCII for U is 0x55 and the ASCII for F is 0x46. The delay after each data nibble must be at least 50µs. Pseudo-Code Comments Pseudo-Code Comments

Go Gators! Relax! May the Schwartz be with you!

Go Gators! Relax! May the Schwartz be with you! Page 1/12 Exam 1 Instructions: Turn off cell phones beepers and other noise making devices. Show all work on the front of the test papers. If you need more room make a clearly indicated note on the front

More information

University of Florida EEL 4744 Spring 2012 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering 22 February Jun-12 4:55 PM

University of Florida EEL 4744 Spring 2012 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering 22 February Jun-12 4:55 PM Page 1/13 Exam 1 Instructions: Turn off cell phones beepers and other noise making devices. Show all work on the front of the test papers. If you need more room make a clearly indicated note on the front

More information

University of Florida EEL 3744 Spring 2017 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering 22 February Mar-17 1:44 PM

University of Florida EEL 3744 Spring 2017 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering 22 February Mar-17 1:44 PM Page 1/11 Exam 1 Instructions: Turn off cell phones beepers and other noise making devices. Show all work on the front of the test papers. If you need more room make a clearly indicated note on the front

More information

May the Schwartz be with you!

May the Schwartz be with you! Department of Electrical & Computer Engineering Tuesday 27 June 17 29-Sep-17 3:54 PM Page 1/13 Exam 1 Instructions: Turn off cell phones beepers and other noise making devices. Show all work on the front

More information

University of Florida EEL 4744 Summer 2014 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering 1 July Oct-14 6:41 PM

University of Florida EEL 4744 Summer 2014 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering 1 July Oct-14 6:41 PM Page 1/14 Exam 1 Instructions: First Name Turn off cell phones beepers and other noise making devices. Show all work on the front of the test papers. If you need more room make a clearly indicated note

More information

University of Florida EEL 4744 Spring 2013 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering 28 March Jun-13 6:18 PM

University of Florida EEL 4744 Spring 2013 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering 28 March Jun-13 6:18 PM University of Florida EEL 4744 Spring 2013 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering 2 March 2013 12-Jun-13 6:1 PM Page 1/14 Exam 2 Instructions: Turn off cell phones beepers

More information

University of Florida EEL 4744 Spring 2014 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering 1 April Apr-14 9:03 AM

University of Florida EEL 4744 Spring 2014 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering 1 April Apr-14 9:03 AM Page 1/15 Exam 2 Instructions: Turn off cell phones beepers and other noise making devices. BEAT UCONN! Show all work on the front of the test papers. If you need more room make a clearly indicated note

More information

University of Florida EEL 3744 Spring 2018 Dr. Eric M. Schwartz. Good luck!

University of Florida EEL 3744 Spring 2018 Dr. Eric M. Schwartz. Good luck! Page 1/13 Exam 2 Relax! Go Gators! Good luck! First Name Instructions: Turn off all cell phones and other noise making devices and put away all electronics. Show all work on the front of the test papers.

More information

University of Florida EEL 4744 Fall 1998 Dr. Eric M. Schwartz

University of Florida EEL 4744 Fall 1998 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering 15 October 199 Professor in ECE 31-Dec-9 12:22 PM Page 1/ Instructions: Show all work on the front of the test papers. If you need more room, make a clearly

More information

Good Evening! Welcome!

Good Evening! Welcome! University of Florida EEL 3701 Fall 2011 Dr Eric M Schwartz Page 1/11 Exam 2 Instructions: Turn off all cell phones, beepers and other noise making devices Show all work on the front of the test papers

More information

Lab 5: LCD and A/D: Digital Voltmeter

Lab 5: LCD and A/D: Digital Voltmeter Page 1/5 OBJECTIVES Learn how to use C (as an alternative to Assembly) in your programs. Learn how to control and interface an LCD panel to a microprocessor. Learn how to use analog-to-digital conversion

More information

1a)[2] Connect the devices on the left column to items on the right column. Keypad 4x4

1a)[2] Connect the devices on the left column to items on the right column. Keypad 4x4 2 nd Midterm ECE372 Fall 2005 This exam is: Closed book, lecture notes, calculator and internet Materials needed are in the appendix Exam duration is 75 minutes. Question Number) [Weight] I suggest that

More information

Lab 5: LCD and A/D: Digital Voltmeter

Lab 5: LCD and A/D: Digital Voltmeter Page 1/5 OBJECTIVES Learn how to use C (as an alternative to Assembly) in your programs. Learn how to control and interface an LCD panel to a microprocessor. Learn how to use analog-to-digital conversion

More information

Lab 5: EBI and ADC: Digital Voltmeter

Lab 5: EBI and ADC: Digital Voltmeter Page 1/5 OBJECTIVES Learn how to use C (as an alternative to Assembly) in your programs. Learn how to use an analog-to-digital conversion (ADC, also known as A/D) system on a microcontroller. Use the ADC

More information

RS232-ADC16/24 Manual

RS232-ADC16/24 Manual RS232-ADC16/24 Manual Version 1.11 Copyright taskit GmbH 2009 www.taskit.de Page 1/22 Table of contents 1 Features...3 2 Introduction...3 3 Bringing into service...4 4 Application Sample...5 5 Frame layout...6

More information

IP-48DAC channel 16-bit Digital/Analog Converter With memory Industry Pack Module PROGRAMMING MANUAL Version 1.

IP-48DAC channel 16-bit Digital/Analog Converter With memory Industry Pack Module PROGRAMMING MANUAL Version 1. IP-48DAC-16 48-channel 16-bit Digital/Analog Converter With memory Industry Pack Module PROGRAMMING MANUAL 828-10-000-4000 Version 1.0 January 2007 ALPHI TECHNOLOGY CORPORATION 1898 E. Southern Ave Tempe,

More information

EEL 4511 Dr. Gugel LAST NAME FIRST NAME Spring 2016, Real-time DSP Exam #1

EEL 4511 Dr. Gugel LAST NAME FIRST NAME Spring 2016, Real-time DSP Exam #1 EEL 4511 Dr. Gugel LAST NAME FIRST NAME Spring 2016, Real-time DSP Exam #1 Open book and open notes, 60 minute examination, No electronic devices are permitted. Page 1 36 points Page 2 24 points Page 3

More information

2. (2 pts) If an external clock is used, which pin of the 8051 should it be connected to?

2. (2 pts) If an external clock is used, which pin of the 8051 should it be connected to? ECE3710 Exam 2. Name _ Spring 2013. 5 pages. 102 points, but scored out of 100. You may use any non-living resource to complete this exam. Any hint of cheating will result in a 0. Part 1 Short Answer 1.

More information

Lab #2: Building the System

Lab #2: Building the System Lab #: Building the System Goal: In this second lab exercise, you will design and build a minimal microprocessor system, consisting of the processor, an EPROM chip for the program, necessary logic chips

More information

Lab Overview. Lab Details. ECEN 4613/5613 Embedded System Design Week #7 Spring 2005 Lab #4 2/23/2005

Lab Overview. Lab Details. ECEN 4613/5613 Embedded System Design Week #7 Spring 2005 Lab #4 2/23/2005 ECEN 4613/5613 Embedded System Design Week #7 Spring 2005 Lab #4 2/23/2005 Lab Overview In this lab assignment, you will do the following: Add a serial EEPROM and an LCD to the hardware developed in Labs

More information

EECS 373 Practice Midterm & Homework #2 Fall 2011

EECS 373 Practice Midterm & Homework #2 Fall 2011 Exam #: EECS 373 Practice Midterm & Homework #2 Fall 2011 Name: Uniquename: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: Problem #

More information

Engineering 100 Midterm Exam Technical Part Fall 2010

Engineering 100 Midterm Exam Technical Part Fall 2010 Engineering 100 Midterm Exam Technical Part Fall 2010 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: Page # Points

More information

UNIVERSITY OF CONNECTICUT. ECE 3411 Microprocessor Application Lab: Fall Quiz II

UNIVERSITY OF CONNECTICUT. ECE 3411 Microprocessor Application Lab: Fall Quiz II Department of Electrical and Computing Engineering UNIVERSITY OF CONNECTICUT ECE 3411 Microprocessor Application Lab: Fall 2015 Quiz II There are 5 questions in this quiz. There are 9 pages in this quiz

More information

EET2411 DIGITAL ELECTRONICS. A device or electrical circuit used to store a single bit (0 or 1) Ex. FF.

EET2411 DIGITAL ELECTRONICS. A device or electrical circuit used to store a single bit (0 or 1) Ex. FF. Chapter 12 - Memory Devices Digital information is easily stored Commonly used memory devices and systems will be examined Flip flops Registers VLSI and LSI memory devices The difference between main memory

More information

4. (a) With a neat sketch explain 8237 DMA controller and its operation? (b) With the help of basic cell explain SRAM and DRAM?

4. (a) With a neat sketch explain 8237 DMA controller and its operation? (b) With the help of basic cell explain SRAM and DRAM? Code No: R05220504 Set No. 1 II B.Tech II Semester Regular Examinations, Apr/May 2008 MICROPROCESSORS AND INTERFACING ( Common to Computer Science & Engineering, Information Technology and Computer Science

More information

Using MSI Logic To Build An Output Port

Using MSI Logic To Build An Output Port Using MSI Logic To Build An Output Port Many designs use standard MSI logic for microprocessor expansion This provides an inexpensive way to expand microprocessors One MSI device often used in such expansions

More information

EE251: Thursday November 15

EE251: Thursday November 15 EE251: Thursday November 15 Major new topic: MEMORY A KEY topic HW #7 due today; HW #8 due Thursday, Nov. 29 Lab #8 finishes this week; due week of Nov. 26 All labs MUST be completed/handed-in by Dec.

More information

I²C GLCD Adapter for KS x64 Manual (1.4)

I²C GLCD Adapter for KS x64 Manual (1.4) I²C GLCD Adapter for KS0108 128x64 Manual (1.4) Luxemburger Str. 31 D 13353 Berlin Phone: +49 (0)30 617 412 48 Fax: +49 (0)30 617 412 47 www.channaa.com 2 1. General discription... 3 2. Pinning Information...

More information

Signature: 1. (10 points) Basic Microcontroller Concepts

Signature: 1. (10 points) Basic Microcontroller Concepts EE 109 Practice Final Exam Last name: First name: Signature: The practice final is one hour, ten minutes long, closed book, closed notes, calculators allowed. To receive full credit on a question show

More information

Lecture Objectives. Introduction to Computing Chapter 0. Topics. Numbering Systems 04/09/2017

Lecture Objectives. Introduction to Computing Chapter 0. Topics. Numbering Systems 04/09/2017 Lecture Objectives Introduction to Computing Chapter The AVR microcontroller and embedded systems using assembly and c Students should be able to: Convert between base and. Explain the difference between

More information

EECS 373 Practice Midterm / Homework #3 Fall 2014

EECS 373 Practice Midterm / Homework #3 Fall 2014 Exam #: EECS 373 Practice Midterm / Homework #3 Fall 2014 Name: Uniquename: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: Problem #

More information

AN1745. Interfacing the HC705C8A to an LCD Module By Mark Glenewinkel Consumer Systems Group Austin, Texas. Introduction

AN1745. Interfacing the HC705C8A to an LCD Module By Mark Glenewinkel Consumer Systems Group Austin, Texas. Introduction Order this document by /D Interfacing the HC705C8A to an LCD Module By Mark Glenewinkel Consumer Systems Group Austin, Texas Introduction More and more applications are requiring liquid crystal displays

More information

EECS 373 Midterm 2 Fall 2018

EECS 373 Midterm 2 Fall 2018 EECS 373 Midterm 2 Fall 2018 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Nor did I discuss this exam with anyone after

More information

The MC9S12 in Expanded Mode Using MSI logic to build ports Using MSI logic to build an output port Using MSI logic to build an input port

The MC9S12 in Expanded Mode Using MSI logic to build ports Using MSI logic to build an output port Using MSI logic to build an input port The MC9S12 in Expanded Mode Using MSI logic to build ports Using MSI logic to build an output port Using MSI logic to build an input port A Simple Parallel Output Port We want a port which will write 8

More information

Problem Set 10 Solutions

Problem Set 10 Solutions CSE 260 Digital Computers: Organization and Logical Design Problem Set 10 Solutions Jon Turner thru 6.20 1. The diagram below shows a memory array containing 32 words of 2 bits each. Label each memory

More information

7 8 9 C. PRELAB REQUIREMENTS You must adhere to the Lab Rules and Policies document for every lab.

7 8 9 C. PRELAB REQUIREMENTS You must adhere to the Lab Rules and Policies document for every lab. Page 1/ Revision 1 OBJECTIVES To understand how a keypad functions as a raster scan input device and to learn how to interface a keypad to a microprocessor. Further explore and understand the implementation

More information

Course Syllabus of Microprocessor I (Fall 2006)

Course Syllabus of Microprocessor I (Fall 2006) Course Syllabus of 16.317 Microprocessor I (Fall 2006) Table of contents 1 Basic Information...2 2 Course structure... 2 3 Service Learning... 3 4 Textbook... 3 5 Course Objectives... 3 6 Labs...5 7 Homeworks...

More information

PMC-DA Channel 16 Bit D/A for PMC Systems REFERENCE MANUAL Version 1.0 June 2001

PMC-DA Channel 16 Bit D/A for PMC Systems REFERENCE MANUAL Version 1.0 June 2001 PMC-DA816 8 Channel 16 Bit D/A for PMC Systems REFERENCE MANUAL 796-10-000-4000 Version 1.0 June 2001 ALPHI TECHNOLOGY CORPORATION 6202 S. Maple Avenue #120 Tempe, AZ 85283 USA Tel: (480) 838-2428 Fax:

More information

Module 2. Embedded Processors and Memory. Version 2 EE IIT, Kharagpur 1

Module 2. Embedded Processors and Memory. Version 2 EE IIT, Kharagpur 1 Module 2 Embedded Processors and Memory Version 2 EE IIT, Kharagpur 1 Lesson 11 Embedded Processors - II Version 2 EE IIT, Kharagpur 2 Signals of a Typical Microcontroller In this lesson the student will

More information

INTRODUCTION OF MICROPROCESSOR& INTERFACING DEVICES Introduction to Microprocessor Evolutions of Microprocessor

INTRODUCTION OF MICROPROCESSOR& INTERFACING DEVICES Introduction to Microprocessor Evolutions of Microprocessor Course Title Course Code MICROPROCESSOR & ASSEMBLY LANGUAGE PROGRAMMING DEC415 Lecture : Practical: 2 Course Credit Tutorial : 0 Total : 5 Course Learning Outcomes At end of the course, students will be

More information

Laboratory 10. Programming a PIC Microcontroller - Part II

Laboratory 10. Programming a PIC Microcontroller - Part II Laboratory 10 Programming a PIC Microcontroller - Part II Required Components: 1 PIC16F88 18P-DIP microcontroller 1 0.1 F capacitor 3 SPST microswitches or NO buttons 4 1k resistors 1 MAN 6910 or LTD-482EC

More information

EE251: Tuesday December 4

EE251: Tuesday December 4 EE251: Tuesday December 4 Memory Subsystem continued Timing requirements Adding memory beyond 4 Gbyte Time Allowing: Begin Review for Final Exam Homework #9 due Thursday at beginning of class Friday is

More information

ECE251: Intro to Microprocessors Name: Solutions Mid Term Exam October 4, 2018

ECE251: Intro to Microprocessors Name: Solutions Mid Term Exam October 4, 2018 ECE251: Intro to Microprocessors Name: Solutions Mid Term Exam October 4, 2018 (PRINT) Instructions: No calculators, books, or cell phones; do not communicate with any other student. One side of a single

More information

1. Internal Architecture of 8085 Microprocessor

1. Internal Architecture of 8085 Microprocessor 1. Internal Architecture of 8085 Microprocessor Control Unit Generates signals within up to carry out the instruction, which has been decoded. In reality causes certain connections between blocks of the

More information

EE251: Thursday November 30

EE251: Thursday November 30 EE251: Thursday November 30 Course Evaluation Forms-fill out Memory Subsystem continued Timing requirements Adding memory beyond 4 Gbyte Time Allowing: Begin Review for Final Exam Homework due next Tuesday,

More information

Memory and Programmable Logic

Memory and Programmable Logic Memory and Programmable Logic Memory units allow us to store and/or retrieve information Essentially look-up tables Good for storing data, not for function implementation Programmable logic device (PLD),

More information

Serial I-O for Dinesh K. Sharma Electrical Engineering Department I.I.T. Bombay Mumbai (version 14/10/07)

Serial I-O for Dinesh K. Sharma Electrical Engineering Department I.I.T. Bombay Mumbai (version 14/10/07) Serial I-O for 8051 Dinesh K. Sharma Electrical Engineering Department I.I.T. Bombay Mumbai 400 076 (version 14/10/07) 1 Motivation Serial communications means sending data a single bit at a time. But

More information

EE 354 Fall 2015 Lecture 1 Architecture and Introduction

EE 354 Fall 2015 Lecture 1 Architecture and Introduction EE 354 Fall 2015 Lecture 1 Architecture and Introduction Note: Much of these notes are taken from the book: The definitive Guide to ARM Cortex M3 and Cortex M4 Processors by Joseph Yiu, third edition,

More information

CS/ECE 5780/6780: Embedded System Design

CS/ECE 5780/6780: Embedded System Design CS/ECE 5780/6780: Embedded System Design John Regehr Lecture 2: 68HC12 Architecture & Lab 1 Introduction Duff s Device void foo (int x, int *y, int *z) { switch (x % 8) { case 0: do { *y++ = *z++; case

More information

ELEG3923 Microprocessor Ch.0 & Ch.1 Introduction to Microcontroller

ELEG3923 Microprocessor Ch.0 & Ch.1 Introduction to Microcontroller Department of Electrical Engineering University of Arkansas ELEG3923 Microprocessor Ch. & Ch. Introduction to Microcontroller Dr. Jingxian Wu wuj@uark.edu OUTLINE 2 What is microcontroller? (Ch..) 85 Microcontroller

More information

Microcontrollers. Principles and Applications. Ajit Pal +5 V 2K 8. 8 bit dip switch. P2 8 Reset switch Microcontroller AT89S52 100E +5 V. 2.

Microcontrollers. Principles and Applications. Ajit Pal +5 V 2K 8. 8 bit dip switch. P2 8 Reset switch Microcontroller AT89S52 100E +5 V. 2. Ajit Pal Microcontrollers Principles and Applications +5 V 2K 8 8 bit dip switch P2 8 Reset switch Microcontroller AT89S52 100E +5 V +5 V 2.2K 10 uf RST 7 Segment common anode LEDs P1(0-6) & P3(0-6) 7

More information

The Freescale MC908JL16 Microcontroller

The Freescale MC908JL16 Microcontroller Ming Hsieh Department of Electrical Engineering EE 459Lx - Embedded Systems Design Laboratory The Freescale MC908JL16 Microcontroller by Allan G. Weber 1 Introduction The Freescale MC908JL16 (also called

More information

Computer Hardware Requirements for ERTSs: Microprocessors & Microcontrollers

Computer Hardware Requirements for ERTSs: Microprocessors & Microcontrollers Lecture (4) Computer Hardware Requirements for ERTSs: Microprocessors & Microcontrollers Prof. Kasim M. Al-Aubidy Philadelphia University-Jordan DERTS-MSc, 2015 Prof. Kasim Al-Aubidy 1 Lecture Outline:

More information

ADI-SPI. Technical Specification. Serial Control Interface Standard (Rev 1.0)

ADI-SPI. Technical Specification. Serial Control Interface Standard (Rev 1.0) Technical Specification Serial Control Interface Standard (Rev 1.0) 2 Keywords SPI, SIF, Interface 3 Contents 1 Scope... 5 1.1 Compliance... 5 2 References... 5 3 Definitions, symbols and abbreviations...

More information

Review for Exam III. Analog/Digital Converters. The MC9S12 has two 10-bit successive approximation A/D converters - can be used in 8-bit mode

Review for Exam III. Analog/Digital Converters. The MC9S12 has two 10-bit successive approximation A/D converters - can be used in 8-bit mode Methods used for A/D converters Flash (Parallel) Successive Approximation Review for Exam III Analog/Digital Converters A/D converters are classified according to: Resolution (number of bits) Speed (number

More information

Sign here to give permission for your test to be returned in class, where others might see your score:

Sign here to give permission for your test to be returned in class, where others might see your score: EEL 4712 Midterm 3 Spring 2016 VERSION 1 Name: UFI: Sign here to give permission for your test to be returned in class, where others might see your score: IMPORTANT: Please be neat and write (or draw)

More information

RTC Interface 89C51 DS M. Krishna Kumar MAM/M7/LU17/V1/ Vcc VCC 5 SDA P1.0 6 SCL P KHz 3 BAT 3.

RTC Interface 89C51 DS M. Krishna Kumar MAM/M7/LU17/V1/ Vcc VCC 5 SDA P1.0 6 SCL P KHz 3 BAT 3. RTC Interface 89C51 Vcc P1.0 10k 10k 5 SDA DS 1307 8 VCC P1.1 6 SCL X1 1 + 3 BAT X2 2 32.768KHz - 3.6V 4 GND INTB\SQW 7 M. Krishna Kumar MAM/M7/LU17/V1/2004 1 RTC Interface contd. DS 1307 is a real time

More information

Systems Programming. Lecture 2 Review of Computer Architecture I

Systems Programming.   Lecture 2 Review of Computer Architecture I Systems Programming www.atomicrhubarb.com/systems Lecture 2 Review of Computer Architecture I In The Book Patt & Patel Chapter 1,2,3 (review) Outline Binary Bit Numbering Logical operations 2's complement

More information

ENGR 100 Midterm (CSE Part) Winter 2014

ENGR 100 Midterm (CSE Part) Winter 2014 ENGR 100 Midterm (CSE Part) Winter 2014 There are two parts in this midterm. This part focuses on the CSE aspects of the course. You will have 80 minutes to work on the exam. We recommend you spend about

More information

CMPUT 101 with Solutions Quiz 2 (50 minutes) November 16, 2000

CMPUT 101 with Solutions Quiz 2 (50 minutes) November 16, 2000 CMPUT 101 with Solutions Quiz 2 (50 minutes) November 16, 2000 Last Name: First Name: Section: Instructor: A6 Yngvi Bjornsson Instructions: Read carefully before proceeding. No calculators, books or other

More information

ENG-7680: SCADA Laboratory Experiments

ENG-7680: SCADA Laboratory Experiments ENG-7680: SCADA Laboratory Experiments 1 RS485 LINE DRIVER 1.1 OBJECTIVES In this lab, you will learn how to test a differential data bus driver/receiver for a multi-point communication. In particular,

More information

2. List the five interrupt pins available in INTR, TRAP, RST 7.5, RST 6.5, RST 5.5.

2. List the five interrupt pins available in INTR, TRAP, RST 7.5, RST 6.5, RST 5.5. DHANALAKSHMI COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING EE6502- MICROPROCESSORS AND MICROCONTROLLERS UNIT I: 8085 PROCESSOR PART A 1. What is the need for ALE signal in

More information

HC12 Built-In Hardware

HC12 Built-In Hardware HC12 Built-In Hardware The HC12 has a number of useful pieces of hardware built into the chip. Different versions of the HC12 have slightly different pieces of hardware. We are using the MC68HC912B32 chip

More information

If the display shift operation is used on a 20 x 4 display, the addressing is shifted as follows:

If the display shift operation is used on a 20 x 4 display, the addressing is shifted as follows: If the display shift operation is used on a 2 x 4 display, the addressing is shifted as follows: Left Shift Column 2 3... 8 9 2 line 2 3 2 3 4 line 2 4 42 43 52 53 54 line 3 5 6 7 26 27 28 line 4 55 56

More information

BUILDING BLOCKS OF A BASIC MICROPROCESSOR. Part 1 PowerPoint Format of Lecture 3 of Book

BUILDING BLOCKS OF A BASIC MICROPROCESSOR. Part 1 PowerPoint Format of Lecture 3 of Book BUILDING BLOCKS OF A BASIC MICROPROCESSOR Part PowerPoint Format of Lecture 3 of Book Decoder Tri-state device Full adder, full subtractor Arithmetic Logic Unit (ALU) Memories Example showing how to write

More information

TEVATRON TECHNOLOGIES PVT. LTD Embedded! Robotics! IoT! VLSI Design! Projects! Technical Consultancy! Education! STEM! Software!

TEVATRON TECHNOLOGIES PVT. LTD Embedded! Robotics! IoT! VLSI Design! Projects! Technical Consultancy! Education! STEM! Software! Summer Training 2016 Advance Embedded Systems Fast track of AVR and detailed working on STM32 ARM Processor with RTOS- Real Time Operating Systems Covering 1. Hands on Topics and Sessions Covered in Summer

More information

Magic 8 Ball. Student's name & ID (1): Partner's name & ID (2): Your Section number & TA's name

Magic 8 Ball. Student's name & ID (1): Partner's name & ID (2): Your Section number & TA's name MPS Magic 8 Ball Lab Exercise Magic 8 Ball Student's name & ID (1): Partner's name & ID (2): Your Section number & TA's name Notes: You must work on this assignment with your partner. Hand in a printer

More information

Microcontroller basics

Microcontroller basics FYS3240 PC-based instrumentation and microcontrollers Microcontroller basics Spring 2017 Lecture #4 Bekkeng, 30.01.2017 Lab: AVR Studio Microcontrollers can be programmed using Assembly or C language In

More information

ArduCAM-M-2MP Camera Shield

ArduCAM-M-2MP Camera Shield 33275-MP ArduCAM-M-2MP Camera Shield 2MP SPI Camera Hardware Application Note Rev 1.0, Mar 2015 33275-MP ArduCAM-M-2MP Hardware Application Note Table of Contents 1 Introduction... 2 2 Typical Wiring...

More information

BEng (Hons.) Telecommunications. BSc (Hons.) Computer Science with Network Security

BEng (Hons.) Telecommunications. BSc (Hons.) Computer Science with Network Security BEng (Hons.) Telecommunications BSc (Hons.) Computer Science with Network Security Cohorts: BTEL/15B/FT BCNS/16B/FT Examinations for 2016-2017 / Semester 2 Resit Examinations for BTEL/13B/FT & BTEL/15B/FT

More information

ECE251: Thursday September 27

ECE251: Thursday September 27 ECE251: Thursday September 27 Exceptions: Interrupts and Resets Chapter in text and Lab #6. READ ALL this material! This will NOT be on the mid-term exam. Lab Practical Exam #1 Homework # due today at

More information

EMBEDDED SYSTEMS COURSE CURRICULUM

EMBEDDED SYSTEMS COURSE CURRICULUM On a Mission to Transform Talent EMBEDDED SYSTEMS COURSE CURRICULUM Table of Contents Module 1: Basic Electronics and PCB Software Overview (Duration: 1 Week)...2 Module 2: Embedded C Programming (Duration:

More information

Department of Electrical and Computer Engineering The University of Texas at Austin

Department of Electrical and Computer Engineering The University of Texas at Austin Department of Electrical and Computer Engineering The University of Texas at Austin EE 306, Fall 2011 Yale Patt, Instructor Faruk Guvenilir, Milad Hashemi, Jennifer Davis, Garrett Galow, Ben Lin, Taylor

More information

b. List different system buses of 8085 microprocessor and give function of each bus. (8) Answer:

b. List different system buses of 8085 microprocessor and give function of each bus. (8) Answer: Q.2 a. Discuss and differentiate between a Microprocessor and a Microcontroller. Microprocessor is an IC which has only the CPU inside them i.e. only the processing powers such as Intel s Pentium 1,2,3,4,

More information

EECS 373 Midterm Winter 2017

EECS 373 Midterm Winter 2017 EECS 373 Midterm Winter 2017 Name: unique name: Sign the following honor code pledge. I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: Problem Points 1 /12

More information

Systems Programming. Lecture 4 Z16 Architecture and Programming

Systems Programming.   Lecture 4 Z16 Architecture and Programming Systems Programming www.atomicrhubarb.com/systems Lecture 4 Z16 Architecture and Programming Section Topic Where in the books Zilog Zilog Zilog Zilog UM197 (ZNEO Z16F Series Flash Microcontroller Contest

More information

Embedded Systems and Software. Serial Interconnect Buses I 2 C (SMB) and SPI

Embedded Systems and Software. Serial Interconnect Buses I 2 C (SMB) and SPI Embedded Systems and Software Serial Interconnect Buses I 2 C (SMB) and SPI I2C, SPI, etc. Slide 1 Provide low-cost i.e., low wire/pin count connection between IC devices There are many of serial bus standards

More information

CSCE 312 Lab manual. Lab 4 - Computer Organization and Data Path Design. Instructor: Dr. Yum. Fall 2016

CSCE 312 Lab manual. Lab 4 - Computer Organization and Data Path Design. Instructor: Dr. Yum. Fall 2016 CSCE 312 Lab manual Lab 4 - Computer Organization and Data Path Design Instructor: Dr. Yum Fall 2016 Department of Computer Science & Engineering Texas A&M University Chapter 5: Computer Organization and

More information

Embedded Systems and Software. LCD Displays

Embedded Systems and Software. LCD Displays Embedded Systems and Software LCD Displays Slide 1 Some Hardware Considerations Assume we want to drive an LED from a port. The AVRs can either source or sink current. Below is a configuration for sourcing.

More information

e-pg Pathshala Subject: Computer Science Paper: Embedded System Module: Interfacing External Devices using Embedded C Module No: CS/ES/22

e-pg Pathshala Subject: Computer Science Paper: Embedded System Module: Interfacing External Devices using Embedded C Module No: CS/ES/22 e-pg Pathshala Subject: Computer Science Paper: Embedded System Module: Interfacing External Devices using Embedded C Module No: CS/ES/22 Quadrant 1 e-text In this lecture interfacing of external devices

More information

A Simple Parallel Input Port

A Simple Parallel Input Port 308 Apr. 8, 2002 A Simple Parallel Input Port We want a port which will read 8 bits of data from the outside Such a port is similar to or Port B when all pins are set up as input We need some hardware

More information

Lab3: I/O Port Expansion

Lab3: I/O Port Expansion Page 1/5 Revision 2 6-Oct-15 OBJECTIVES Explore and understand the implementation of memory-mapped I/O. Add an 8-bit input port and an 8-bit output port. REQUIRED MATERIALS EEL 3744 (upad and upad Proto

More information

PIC Microcontroller Introduction

PIC Microcontroller Introduction PIC Microcontroller Introduction The real name of this microcontroller is PICmicro (Peripheral Interface Controller), but it is better known as PIC. Its first ancestor was designed in 1975 by General Instruments.

More information

CLCD1 Serial 1 wire RS232 LCD development board

CLCD1 Serial 1 wire RS232 LCD development board CLCD1 Serial 1 wire RS232 LCD development board Can be used with most 14 pin HD44780 based character LCD displays Use with 1,2,3 or 4 line displays. (Four line LCD shown above) Shown assembled with optional

More information

Read this before starting!

Read this before starting! Points missed: Student's Name: Total score: /100 points East Tennessee State University Department of Computer and Information Sciences CSCI 2150 (Tarnoff) Computer Organization TEST 1 for Spring Semester,

More information

Topics. Interfacing chips

Topics. Interfacing chips 8086 Interfacing ICs 2 Topics Interfacing chips Programmable Communication Interface PCI (8251) Programmable Interval Timer (8253) Programmable Peripheral Interfacing - PPI (8255) Programmable DMA controller

More information

YOU WILL NOT BE ALLOWED INTO YOUR LAB SECTION WITHOUT THE REQUIRED PRE-LAB.

YOU WILL NOT BE ALLOWED INTO YOUR LAB SECTION WITHOUT THE REQUIRED PRE-LAB. Page 1/5 Revision 3 OBJECTIVES Explore and understand microprocessor interrupts. In part A of this lab, you will use XMEGA external interrupt system. Learn how to utilize asynchronous serial communication.

More information

ET285 Digital Electronics II [Onsite]

ET285 Digital Electronics II [Onsite] ET285 Digital Electronics II [Onsite] Course Description: This course continues the study of digital electronics. The focus in this course is on sequential logic. In lab, students construct, test and troubleshoot

More information

UM0792 User manual. Demonstration firmware for the DMX-512 communication protocol transmitter based on the STM32F103Zx.

UM0792 User manual. Demonstration firmware for the DMX-512 communication protocol transmitter based on the STM32F103Zx. User manual Demonstration firmware for the DMX-512 communication protocol transmitter based on the STM32F103Zx Introduction This document describes how to use the demonstration firmware for the DMX-512

More information

538 Lecture Notes Week 5

538 Lecture Notes Week 5 538 Lecture Notes Week 5 (Sept. 30, 2013) 1/15 538 Lecture Notes Week 5 Answers to last week's questions 1. With the diagram shown for a port (single bit), what happens if the Direction Register is read?

More information

PSIM Tutorial. How to Use SPI in F2833x Target. February Powersim Inc.

PSIM Tutorial. How to Use SPI in F2833x Target. February Powersim Inc. PSIM Tutorial How to Use SPI in F2833x Target February 2013-1 - Powersim Inc. With the SimCoder Module and the F2833x Hardware Target, PSIM can generate ready-to-run codes for DSP boards that use TI F2833x

More information

8032 MCU + Soft Modules. c = rcvdata; // get the keyboard scan code

8032 MCU + Soft Modules. c = rcvdata; // get the keyboard scan code 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 { 0x25, 0x66 }, // "4" { 0x2E, 0x6D }, // "5" { 0x36, 0x7D }, // "6" { 0x3D, 0x07 }, // "7" { 0x3E, 0x7F }, // "8" { 0x46,

More information

Lab3: I/O Port Expansion

Lab3: I/O Port Expansion Page 1/6 Revision 0 26-Jan-16 OBJECTIVES Explore and understand the implementation of memory-mapped I/O. Add an 8-bit input port and an 8-bit output port. REQUIRED MATERIALS EEL 3744 (upad and upad Proto

More information

Getting the O in I/O to work on a typical microcontroller

Getting the O in I/O to work on a typical microcontroller Getting the O in I/O to work on a typical microcontroller Ideas of how to send output signals to the radio controlled car. The theory behind the LED controller used in the Familiarization Lab Agenda Processors

More information

CS303 LOGIC DESIGN FINAL EXAM

CS303 LOGIC DESIGN FINAL EXAM JANUARY 2017. CS303 LOGIC DESIGN FINAL EXAM STUDENT NAME & ID: DATE: Instructions: Examination time: 100 min. Write your name and student number in the space provided above. This examination is closed

More information

Computer System Architecture Midterm Examination Spring 2002

Computer System Architecture Midterm Examination Spring 2002 Computer System Architecture 6.823 Midterm Examination Spring 2002 Name: This is an open book, open notes exam. 110 Minutes 1 Pages Notes: Not all questions are of equal difficulty, so look over the entire

More information

WINTER 14 EXAMINATION Subject Code: Model Answer Page No: 1/ 26

WINTER 14 EXAMINATION Subject Code: Model Answer Page No: 1/ 26 WINTER 14 EXAMINATION Subject Code: 17509 Model Answer Page No: 1/ 26 Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer

More information

Microprocessor (COM 9323)

Microprocessor (COM 9323) Microprocessor (COM 9323) Lecture 1: Introduction Ahmed Elnakib, PhD Assistant Professor, Mansoura University, Egypt Feb 17 th, 2016 1 Course Syllabus* o Introduction to computer architecture o Basics

More information

ECE251: Thursday November 8

ECE251: Thursday November 8 ECE251: Thursday November 8 Universal Asynchronous Receiver & Transmitter Text Chapter 22, Sections 22.1.1-22.1.4-read carefully TM4C Data Sheet Section 14-no need to read this A key topic but not a lab

More information

PCI-4IPM Revision C. Second Generation Intelligent IP Carrier for PCI Systems Up to Four IndustryPack Modules Dual Ported SRAM, Bus Master DMA

PCI-4IPM Revision C. Second Generation Intelligent IP Carrier for PCI Systems Up to Four IndustryPack Modules Dual Ported SRAM, Bus Master DMA PCI-4IPM Revision C Second Generation Intelligent IP Carrier for PCI Systems Up to Four IndustryPack Modules Dual Ported SRAM, Bus Master DMA REFERENCE MANUAL 781-21-000-4000 Version 2.1 April 2003 ALPHI

More information