Detecting Boundary Condition Bugs through System Verilog Functional Coverage Jayabrata Chakraborty HCL Technologies Ltd. Noida, India.

Size: px
Start display at page:

Download "Detecting Boundary Condition Bugs through System Verilog Functional Coverage Jayabrata Chakraborty HCL Technologies Ltd. Noida, India."

Transcription

1 Detecting Boundary Condition Bugs through System Verilog Functional Coverage Jayabrata Chakraborty HCL Technologies Ltd. Noida, India. November,2008

2 Abstract This document describes the necessity to identify the boundary condition bugs present in design modules; and discusses about the effective solution provided through functional coverage over code (condition) coverage in making sure that such scenarios are identified during verification. 1. Introduction Boundary conditions present in a design module are crucial check items which should be identified and listed by the module designer for the purpose of verification. The boundary conditions are not covered in code coverage; so there should be some other way to make sure that they are not missed during verification. If the boundary conditions are not verified thoroughly during the block level verification of a module then there remains a possibility of a major bug being carried over to the system level. Since through code coverage (condition coverage) it is not possible to know whether boundary conditions have been covered or not, it is necessary to use functional coverage. Properties for identified boundary conditions can be created and covered. The covered properties can be tracked through coverpoints in covergroups. The boundary conditions identified and covered through coverpoints in covergroups at the block level can be carried forward to the system level, also enabling a robust verification at the system level. The following sections analyze a real boundary condition bug scenario and discuss about how code coverage was not sufficient in tracking it. The possible boundary conditions for the bug scenario are listed and the implementation detail of one using System Verilog is mentioned. 2

3 2. Boundary condition bug analysis In this section a boundary condition bug present in a line of code is described with the help of a waveform and the associated condition coverage report for that line of code is discussed. After the discussion we can conclude that the verification of that boundary condition bug scenario does not get reflected in the condition coverage report Example Code: The concerned line of code whose boundary conditions are to be verified is shown below, related to the signal run : run : (posedge CLK_N or posedge init) begin else if (! Req && nstate==ncptrans) run <= 1'b1; The following code templates will help to understand the Bug Description and Waveform portions better: Req is asserted or (posedge CLK). Req_r : (posedge CLK or posedge init) begin if (Req) Req_r <= 1'b1; else Req_r <= 1'b0; Sel : (posedge CLK or posedge init) begin else if (cstate== Ncptrans &&! Req_r) Sel <= 1'b1; 3

4 cstate : (posedge CLK or posedge init) begin else if (! Req_r) cstate <= nstate; outdata : (posedge CLK or posedge init) begin if (Sel) outdata <= output_t; else outdata <= other_data ; output_t : Consider output_t to be a variable whose value CLK) when run is asserted Bug Description In the waveform shown below the negation of Req takes (posedge CLK); 1 CLK cycle after the nstate Init -> Ncptrans transition; causing an early assertion of run with respect to Sel; which causes the loss of the first data of output_t ee27fef1 in the output outdata Waveform 4

5 2.4. Code Coverage (Condition Coverage) For the mentioned line of code; the following Condition Coverage report was generated showing 100% coverage The below mentioned Condition Coverage report gives no idea about; whether any boundary conditions have been covered or not. In fact the bug was identified after obtaining the successful code coverage report. In the next section the identification of the associated boundary conditions for the mentioned line of code; and the implementation of functional coverage to make sure that those boundary conditions are covered; have been discussed: 3. Identification of Boundary Condition & Implementation of Functional Coverage 3.1.Step I: Listing Of Boundary Conditions CODE: else if (! Req && nstate==ncptrans) run <= 1'b1; For determining the boundary conditions of this portion of code two points can be considered: Negation of Req; and Transition of nstate from Init -> Ncptrans; 5

6 There can be three possible scenarios: SCENARIO CLK: Req is negated 1 CLK before nstate Init -> Ncptrans transition takes place; SCENARIO CLK: Req is negated simultaneously when nstate Init -> Ncptrans transition takes place; SCENARIO CLK: Req is negated 1 CLK after nstate Init -> Ncptrans transition takes place; Out of the three mentioned scenarios, SCENARIO 3, when created and encountered; identified the major bug that caused the transmission without the first data. The loss of first DWORD data during transmission was a major bug. 3.2.Step II: Creating Sequence, Property And Cover For Boundary Conditions Whether the boundary conditions have been covered in a simulation run, can be easily identified with the implementation of functional coverage for each and every boundary condition. Example: Functional Coverage Implementation for SCENARIO 3 SCENARIO 3: Check boundary condition Req negated 1 CLK after nstate Init -> Ncptrans transition: SEQUENCE: sequence (posedge `TOP.mod.CLK) (`TOP.mod.nstate == 3'h1) ##1 (`TOP.mod.nstate == 3'h4) ##1 $fell (`TOP.mod.Req); sequence PROPERTY: property Req_0_1CLK_after_Init_to_Ncptrans_run_prop; Req_0_1CLK_after_Init_to_Ncptrans_run_seq; property 6

7 COVER PROPERTY: Req_0_1CLK_after_Init_to_Ncptrans_run_cov: cover property (Req_0_1CLK_after_Init_to_Ncptrans_run_prop) begin mod_bc.req_0_1clk_after_init_to_ncptrans_run = 1'b1; $ d i s p l a y ( " % 1 0 d C o v e r e d Req_0_1CLK_after_Init_to_Ncptrans_run condition\n", $time); The variable mod_bc.req_0_1clk_after_init_to_ncptrans_run is a class property accessible through the class instance mod_bc of the class mod_bc_cls shown in the next section. In the current example the sequence, property and cover property are considered to be inside a program block where the class mod_bc_cls is also instantiated. So, the class property can be accessed by the cover property. For further reusability the above mentioned sequence, property and cover property can be created inside a module say mod_cov which will be compiled with the testbench. In that case, the Req_0_1CLK_after_Init_to_Ncptrans_run in the cover property can be declared as a variable inside this module. As; logic Req_0_1CLK_after_Init_to_Ncptrans_run; This variable can be accessed by the coverpoint in the class through the module name. As; mod_cov. Req_0_1CLK_after_Init_to_Ncptrans_run The next level of implementation shows the inclusion of a covergroup and the coverpoints in a class which will help those features to be carried over from the block level to the system level verification. 3.3.Step III: Implementation Of Covergroup And Coverpoints In Class class mod_bc_cls; logic Req_0_1CLK_after_Init_to_Ncptrans_run; covergroup (posedge `TOP.mod.CLK); Req_0_1CLK_after_Init_to_Ncptrans_run_cp: coverpoint 7

8 Re q _ 0 _ 1 C L K _ a f t e r _ I n i t _ t o _ N c p t r a n s _ r u n { b i n s Req_0_1CLK_after_Init_to_Ncptrans_run_b = {1} ;} group: mod_cg function new; mod_cg = new; function: new class: mod_bc_cls The functional coverage contents of the class mod_bc_cls when instantiated in a Test Case will help track the boundary condition. T h e c o v e r g r o u p m o d _ c g a n d t h e c o v e r p o i n t Req_0_1CLK_after_Init_to_Ncptrans_run_cp help obtain the result of the boundary condition being covered or not. 4. Conclusion Identifying the possible boundary conditions present in a design module is a necessary and important task to be efficiently carried out by the designer himself; The identified conditions can then be provided to the verification engineer for creating functional coverage; System Verilog contains many features to implement functional coverage for such boundary conditions through sequence, property, cover, bins, coverpoint, covergroup and many more; Implementing covergroups in classes can help carry the coverage of identified boundary conditions from the module level to the system level; Using the VCS Unified Coverage Report Generator (URG) the covergroups and coverpoints can be tracked; Constrained Random Verification (CRV) is the best way to cover those boundary conditions; Implementing functional coverage for boundary conditions results in a very robust verification environment and flawless verification. 5. References SystemVerilog 3.1a Language Reference Manual; Verification methodology manual for SystemVerilog, J. Bergeron, E. Cerny, A.Hunter, Nightingale, Springer,

9 Hello, I m from HCL!. We work behind the scenes, helping our customers to shift paradigms & start revolutions. We use digital engineering to build superhuman capabilities. We make sure that the rate of progress far exceeds the price. And right now, 56,000 of us bright sparks are busy developing solutions for 500 customers in 19 countries across the world. How can I help you? 9

FP&A Simulation. A Complete Step-by-Step Guide. Ray Salemi

FP&A Simulation. A Complete Step-by-Step Guide. Ray Salemi FP&A Simulation A Complete Step-by-Step Guide Ray Salemi Contents Acknowledgments vii Foreword ix Preface xi The Boiled Frog 1 A Boiled Story 3 Root Cause Analysis 4 The "Verification Complete" Milestone

More information

THE DEVELOPMENT OF ADVANCED VERIFICATION ENVIRONMENTS USING SYSTEM VERILOG

THE DEVELOPMENT OF ADVANCED VERIFICATION ENVIRONMENTS USING SYSTEM VERILOG ISSC 2008, Galway, June 18-19 THE DEVELOPMENT OF ADVANCED VERIFICATION ENVIRONMENTS USING SYSTEM VERILOG Martin Keaveney, Anthony McMahon, Niall O Keeffe *, Kevin Keane, James O Reilly *Department of Electronic

More information

166 SystemVerilog Assertions Handbook, 4th Edition

166 SystemVerilog Assertions Handbook, 4th Edition 166 SystemVerilog Assertions Handbook, 4th Edition example, suppose that a cache controller performs behavior A when there is a cache hit (e.g., fetch data from the cache), or performs behavior B when

More information

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology)

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology) 1 Introduction............................................... 1 1.1 Functional Design Verification: Current State of Affair......... 2 1.2 Where Are the Bugs?.................................... 3 2 Functional

More information

SystemVerilog Functional Coverage

SystemVerilog Functional Coverage SystemVerilog Functional Coverage Ahmed Hemani System Architecture and Methodology Group Department of Electronic and Computer Systems School of ICT, KTH Functional Coverage Functional Coverage is used

More information

PG DIPLOMA COURSE IN VERIFICATION USING SYSTEMVERILOG & UVM NEOSCHIP TECHNOLOGIES

PG DIPLOMA COURSE IN VERIFICATION USING SYSTEMVERILOG & UVM NEOSCHIP TECHNOLOGIES PG DIPLOMA COURSE IN VERIFICATION USING SYSTEMVERILOG & UVM An Initiative by Industry Experts With Qualification from IITs and IISCs Address: NEOSCHIP TECHNOLOGIES 3rd Floor, Sai Durga Enclave, 1099/833-1,

More information

Control in Digital Systems

Control in Digital Systems CONTROL CIRCUITS Control in Digital Systems Three primary components of digital systems Datapath (does the work) Control (manager, controller) Memory (storage) B. Baas 256 Control in Digital Systems Control

More information

Complex Low Power Verification Challenges in NextGen SoCs: Taming the Beast!

Complex Low Power Verification Challenges in NextGen SoCs: Taming the Beast! Complex Low Power Verification Challenges in NextGen SoCs: Taming the Beast! Abhinav Nawal, Freescale Semiconductors India Pvt Ltd, abhinav.nawal@freescale.com Gaurav Jain, Freescale Semiconductors India

More information

BRINGING CONTINUOUS DOMAIN INTO SYSTEMVERILOG COVERGROUPS

BRINGING CONTINUOUS DOMAIN INTO SYSTEMVERILOG COVERGROUPS BRINGING CONTINUOUS DOMAIN INTO SYSTEMVERILOG COVERGROUPS Prabal K Bhattacharya Cadence Design Systems 2655 Seely Avenue, San Jose, USA 1-408-894-2508 prabal@cadence.com Swapnajit Chakraborti Cadence Design

More information

ECE 2300 Digital Logic & Computer Organization. More Sequential Logic Verilog

ECE 2300 Digital Logic & Computer Organization. More Sequential Logic Verilog ECE 2300 Digital Logic & Computer Organization Spring 2018 More Sequential Logic Verilog Lecture 7: 1 Announcements HW3 will be posted tonight Prelim 1 Thursday March 1, in class Coverage: Lectures 1~7

More information

Automated Generation of Functional Coverage Metrics for Input Stimulus by Mike Andrews, Verification Technologist, Mentor Graphics

Automated Generation of Functional Coverage Metrics for Input Stimulus by Mike Andrews, Verification Technologist, Mentor Graphics Automated Generation of Functional Coverage Metrics for Input Stimulus by Mike Andrews, Verification Technologist, Mentor Graphics Questa infact intelligent testbench automation has allowed many verification

More information

SVA in a UVM Class-based Environment by Ben Cohen, author, consultant, and trainer

SVA in a UVM Class-based Environment by Ben Cohen, author, consultant, and trainer SVA in a UVM Class-based Environment by Ben Cohen, author, consultant, and trainer INTRODUCTION Verification can be defined as the check that the design meets the requirements. How can this be achieved?

More information

Sunburst Design - SystemVerilog UVM Verification Training by Recognized Verilog & SystemVerilog Guru, Cliff Cummings of Sunburst Design, Inc.

Sunburst Design - SystemVerilog UVM Verification Training by Recognized Verilog & SystemVerilog Guru, Cliff Cummings of Sunburst Design, Inc. World Class SystemVerilog & UVM Training Sunburst Design - SystemVerilog UVM Verification Training by Recognized Verilog & SystemVerilog Guru, Cliff Cummings of Sunburst Design, Inc. Cliff Cummings is

More information

Lab 7 (All Sections) Prelab: Introduction to Verilog

Lab 7 (All Sections) Prelab: Introduction to Verilog Lab 7 (All Sections) Prelab: Introduction to Verilog Name: Sign the following statement: On my honor, as an Aggie, I have neither given nor received unauthorized aid on this academic work 1 Objective The

More information

FORMAL SPECIFICATION, SYSTEM VERILOG ASSERTIONS & COVERAGE. By Calderón-Rico, Rodrigo & Tapia Sanchez, Israel G.

FORMAL SPECIFICATION, SYSTEM VERILOG ASSERTIONS & COVERAGE. By Calderón-Rico, Rodrigo & Tapia Sanchez, Israel G. FORMAL SPECIFICATION, SYSTEM VERILOG ASSERTIONS & COVERAGE By Calderón-Rico, Rodrigo & Tapia Sanchez, Israel G. OBJECTIVE Learn how to define objects by specifying their properties which are formally

More information

Last Lecture: Divide by 3 FSM

Last Lecture: Divide by 3 FSM Last Lecture: Divide by 3 FSM Output should be 1 every 3 clock cycles S2 S0 S1 The double circle indicates the reset state Slide derived from slides by Harris & Harris from their book 1 Finite State Machines

More information

An Introduction to the Unified Coverage Interoperability Standard UCIS Technical Committee

An Introduction to the Unified Coverage Interoperability Standard UCIS Technical Committee An Introduction to the Unified Coverage Interoperability Standard UCIS Technical Committee Motivation for UCIS Verification is hard -

More information

REAL VALUE MODELING FOR IMPROVING THE VERIFICATION PERFORMANCE

REAL VALUE MODELING FOR IMPROVING THE VERIFICATION PERFORMANCE REAL VALUE MODELING FOR IMPROVING THE VERIFICATION PERFORMANCE MALLIKARJUNA REDDY. Y, TEST AND VERIFICATION SOLUTIONS K.VENKATRAMANARAO, MINDLANCE TECHNOLOGIES AGENDA Analog Modeling Vs Real Number Modeling

More information

Low-Power Verification Methodology using UPF Query functions and Bind checkers

Low-Power Verification Methodology using UPF Query functions and Bind checkers Low-Power Verification Methodology using UPF Query functions and Bind checkers Madhur Bhargava, Mentor Graphics, Noida, India (madhur_bhargava@mentor.com) Durgesh Prasad, Mentor Graphics, Noida, India

More information

width: 10, 20 or 40-bit interface maximum number of lanes in any direction

width: 10, 20 or 40-bit interface maximum number of lanes in any direction MIPI LLI Verification using Questa Verification IP by Vaibhav Gupta, Lead Member Technical Staff and Yogesh Chaudhary, Consulting Staff, Mentor Graphics This article describes how incorporating LLI Questa

More information

Unifying Design and Verification

Unifying Design and Verification Unifying Design and Verification SystemVerilog Overview Agenda SystemVerilog Introduction Synopsys SystemVerilog Solution SystemVerilog Features and Successful Stories 2006 Synopsys, Inc. (2) Agenda SystemVerilog

More information

Verification of Clock Domain Crossing Jitter and Metastability Tolerance using Emulation

Verification of Clock Domain Crossing Jitter and Metastability Tolerance using Emulation Verification of Clock Domain Crossing Jitter and Metastability Tolerance using Emulation Ashish Hari ashish_hari@mentor.com Suresh Krishnamurthy k_suresh@mentor.com Amit Jain amit_jain@mentor.com Yogesh

More information

Equivalence Validation of Analog Behavioral Models

Equivalence Validation of Analog Behavioral Models Equivalence Validation of Analog Behavioral Models Hardik Parekh *, Manish Kumar Karna *, Mohit Jain*, Atul Pandey +, Sandeep Mittal ++ * ST MICROELECTRONICS PVT. LTD., GREATER NOIDA,INDIA { HARDIK.PAREKH,

More information

Logic Circuits II ECE 2411 Thursday 4:45pm-7:20pm. Lecture 3

Logic Circuits II ECE 2411 Thursday 4:45pm-7:20pm. Lecture 3 Logic Circuits II ECE 2411 Thursday 4:45pm-7:20pm Lecture 3 Lecture 3 Topics Covered: Chapter 4 Discuss Sequential logic Verilog Coding Introduce Sequential coding Further review of Combinational Verilog

More information

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology DATASHEET VCS AMS Mixed-Signal Verification Solution Scalable mixedsignal regression testing with transistor-level accuracy Overview The complexity of mixed-signal system-on-chip (SoC) designs is rapidly

More information

Sunburst Design - Advanced SystemVerilog for Design & Verification by Recognized Verilog & SystemVerilog Guru, Cliff Cummings of Sunburst Design, Inc.

Sunburst Design - Advanced SystemVerilog for Design & Verification by Recognized Verilog & SystemVerilog Guru, Cliff Cummings of Sunburst Design, Inc. World Class Verilog & SystemVerilog Training Sunburst Design - Advanced SystemVerilog for Design & Verification by Recognized Verilog & SystemVerilog Guru, Cliff Cummings of Sunburst Design, Inc. Cliff

More information

VCS SystemVerilog Assertions Training Exercises

VCS SystemVerilog Assertions Training Exercises VCS SystemVerilog Assertions Training Exercises LAB : SVA / VCS Overall Inline Tool Flow using checkers Goal Get Familiar with Inlined SVA Flow Location SVA/lab_ Design Traffic Light Controller Allocated

More information

Relieving the Parameterized Coverage Headache

Relieving the Parameterized Coverage Headache Relieving the Parameterized Coverage Headache Christine Lovett, Bryan Ramirez, Stacey Secatch Xilinx, Inc. 3100 Logic Dr. Longmont, CO 80503 cristi.lovett@xilinx.com, byran.ramirez@xilinx.com, stacey.secatch@xilinx.com

More information

EECS 470 Lab 6. SystemVerilog. Department of Electrical Engineering and Computer Science College of Engineering. (University of Michigan)

EECS 470 Lab 6. SystemVerilog. Department of Electrical Engineering and Computer Science College of Engineering. (University of Michigan) EECS 470 Lab 6 SystemVerilog Department of Electrical Engineering and Computer Science College of Engineering University of Michigan Thursday, October. 18 th, 2018 Thursday, October. 18 th, 2018 1 / Overview

More information

EECS 4340: Computer Hardware Design Unit 4: Validation

EECS 4340: Computer Hardware Design Unit 4: Validation EECS 4340: Unit 4: Validation Prof. Simha Sethumadhavan Reference Book: System Verilog for Verification Agenda Last Unit Design abstractions Basic primitives This Unit Validation Forthcoming Design Tips

More information

Module 4. Design of Embedded Processors. Version 2 EE IIT, Kharagpur 1

Module 4. Design of Embedded Processors. Version 2 EE IIT, Kharagpur 1 Module 4 Design of Embedded Processors Version 2 EE IIT, Kharagpur 1 Lesson 23 Introduction to Hardware Description Languages-III Version 2 EE IIT, Kharagpur 2 Instructional Objectives At the end of the

More information

COVERAGE DRIVEN VERIFICATION OF I2C PROTOCOL USING SYSTEM VERILOG

COVERAGE DRIVEN VERIFICATION OF I2C PROTOCOL USING SYSTEM VERILOG International Journal of Advanced Research in Engineering and Technology (IJARET) Volume 7, Issue 3, May June 2016, pp. 103 113, Article ID: IJARET_07_03_010 Available online at http://www.iaeme.com/ijaret/issues.asp?jtype=ijaret&vtype=7&itype=3

More information

Assertion-Based Verification

Assertion-Based Verification Assertion-Based Verification ABV and Formal Property Checking Harry Foster Chief Scientist Verification info@verificationacademy.com www.verificationacademy.com Session Overview After completing this session

More information

ECE 2300 Digital Logic & Computer Organization. More Verilog Finite State Machines

ECE 2300 Digital Logic & Computer Organization. More Verilog Finite State Machines ECE 2300 Digital Logic & Computer Organization Spring 2017 More Verilog Finite State Machines Lecture 8: 1 Announcements 1 st batch of (raw) quiz scores released on CMS Solutions to HW 1-3 released on

More information

Getting to Grips with the SystemVerilog Scheduler

Getting to Grips with the SystemVerilog Scheduler Getting to Grips with the SystemVerilog Scheduler Alan Fitch, Doulos 1 SystemVerilog Scheduler The Verilog Scheduler SystemVerilog 2005 SystemVerilog 2009/2012 Gotchas!... and Conclusions Verilog Scheduler

More information

An Evaluation of the Advantages of Moving from a VHDL to a UVM Testbench by Shaela Rahman, Baker Hughes

An Evaluation of the Advantages of Moving from a VHDL to a UVM Testbench by Shaela Rahman, Baker Hughes An Evaluation of the Advantages of Moving from a VHDL to a UVM Testbench by Shaela Rahman, Baker Hughes FPGA designs are becoming too large to verify by visually checking waveforms, as the functionality

More information

A User s Experience with SystemVerilog

A User s Experience with SystemVerilog A User s Experience with SystemVerilog and Doulos Ltd Ringwood, U.K. BH24 1AW jonathan.bromley@doulos.com michael.smith@doulos.com 2 Objectives Practical use of SystemVerilog Synopsys tools (VCS, Design

More information

SYSTEMATIC TESTBENCH SPECIFICATION FOR CONSTRAINED RANDOMIZED TEST AND FUNCTIONAL COVERAGE

SYSTEMATIC TESTBENCH SPECIFICATION FOR CONSTRAINED RANDOMIZED TEST AND FUNCTIONAL COVERAGE SYSTEMATIC TESTBENCH SPECIFICATION FOR CONSTRAINED RANDOMIZED TEST AND FUNCTIONAL COVERAGE Alexander Krupp and Wolfgang Mueller Paderborn University/C-LAB, Fuerstenallee 11, D-33102 Paderborn, Germany

More information

LAB 6 Testing the ALU

LAB 6 Testing the ALU Goals LAB 6 Testing the ALU Learn how to write testbenches in Verilog to verify the functionality of the design. Learn to find and resolve problems (bugs) in the design. To Do We will write a Verilog testbench

More information

Design and Verification of Slave Block in Ethernet Management Interface using UVM

Design and Verification of Slave Block in Ethernet Management Interface using UVM Indian Journal of Science and Technology, Vol 9(5), DOI: 10.17485/ijst/2016/v9i5/87173, February 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Design and Verification of Slave Block in Ethernet

More information

Debugging Inconclusive Assertions and a Case Study

Debugging Inconclusive Assertions and a Case Study Debugging Inconclusive Assertions and a Case Study by Jin Hou Mentor, A Siemens Business INTRODUCTION Formal assertion-based verification uses formal technologies to analyze if a design satisfies a given

More information

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL Comp 541 Digital Logic and Computer Design Prof. Montek Singh Fall 2017 Lab #3A: Sequential Design: Counters Issued Wed 9/6/17; Due Wed 9/13/17 (11:59pm)

More information

Practical experience in automatic functional coverage convergence and reusable collection infrastructure in UVM verification

Practical experience in automatic functional coverage convergence and reusable collection infrastructure in UVM verification Practical experience in automatic functional coverage convergence and reusable collection infrastructure in UVM verification Roman Wang, +8613482890029, Advanced Micro Devices, Inc., Shanghai, China (roman.wang@amd.com)

More information

What is Verilog HDL? Lecture 1: Verilog HDL Introduction. Basic Design Methodology. What is VHDL? Requirements

What is Verilog HDL? Lecture 1: Verilog HDL Introduction. Basic Design Methodology. What is VHDL? Requirements What is Verilog HDL? Lecture 1: Verilog HDL Introduction Verilog Hardware Description Language(HDL)? A high-level computer language can model, represent and simulate digital design Hardware concurrency

More information

7.3.3 Same Inputs in Antecedent and Consequent

7.3.3 Same Inputs in Antecedent and Consequent Formal Verification Using Assertions 249 There are some special scenarios in which the user may want to intentionally toggle the reset signal during a session. This may be needed to check conditions such

More information

OpenVera Assertions. March Synopsys, Inc.

OpenVera Assertions. March Synopsys, Inc. OpenVera Assertions March 2003 2003 Synopsys, Inc. Introduction The amount of time and manpower that is invested in finding and removing bugs is growing faster than the investment in creating the design.

More information

ECE 2300 Digital Logic & Computer Organization. More Verilog Finite State Machines

ECE 2300 Digital Logic & Computer Organization. More Verilog Finite State Machines ECE 2300 Digital Logic & Computer Organization Spring 2018 More Verilog Finite Machines Lecture 8: 1 Prelim 1, Thursday 3/1, 1:25pm, 75 mins Arrive early by 1:20pm Review sessions Announcements Monday

More information

Graph-Based Verification in a UVM Environment

Graph-Based Verification in a UVM Environment Graph-Based Verification in a UVM Environment Staffan Berg European Applications Engineer July 2012 Graph-Based Intelligent Testbench Automation (itba) Welcome DVClub Attendees Organizers Presenters Verification

More information

ASIC world. Start Specification Design Verification Layout Validation Finish

ASIC world. Start Specification Design Verification Layout Validation Finish AMS Verification Agenda ASIC world ASIC Industrial Facts Why Verification? Verification Overview Functional Verification Formal Verification Analog Verification Mixed-Signal Verification DFT Verification

More information

Verification of I2C module for Multiprotocol Serial Controller

Verification of I2C module for Multiprotocol Serial Controller e-issn 2455 1392 Volume 2 Issue 4, April 2016 pp. 548-555 Scientific Journal Impact Factor : 3.468 http://www.ijcter.com Verification of I2C module for Multiprotocol Serial Controller Subham Punit Patro1,

More information

7.3 Case Study - FV of a traffic light controller

7.3 Case Study - FV of a traffic light controller Formal Verification Using Assertions 247 7.3 Case Study - FV of a traffic light controller 7.3.1 Model This design represents a simple traffic light controller for a North-South and East-West intersection.

More information

14:332:231 DIGITAL LOGIC DESIGN. Verilog Functions and Tasks

14:332:231 DIGITAL LOGIC DESIGN. Verilog Functions and Tasks 4:332:23 DIGITAL LOGIC DESIGN Ivan Marsic, Rutgers University Electrical & Computer Engineering Fall 203 Lecture #24: Verilog Time Dimension and Test Benches Verilog Functions and Tasks Verilog function

More information

Module- or Class-Based URM? A Pragmatic Guide to Creating Verification Environments in SystemVerilog. Paradigm Works, Inc. Dr.

Module- or Class-Based URM? A Pragmatic Guide to Creating Verification Environments in SystemVerilog. Paradigm Works, Inc. Dr. Module- or Class-Based URM? A Pragmatic Guide to Creating Verification Environments in SystemVerilog Paradigm Works, Inc. Dr. Ambar Sarkar Session # 2.15 Presented at Module- or Class-Based URM? A Pragmatic

More information

Embedded Systems 1: Hardware Description Languages (HDLs) for Verification

Embedded Systems 1: Hardware Description Languages (HDLs) for Verification November 2017 Embedded Systems 1: Hardware Description Languages (HDLs) for Verification Davide Zoni PhD email: davide.zoni@polimi.it webpage: home.deib.polimi.it/zoni Outline 2 How to test an RTL design

More information

Bulletproofing FSM Verification Automated Approach to Detect Corner Case Issues in an FSM Design

Bulletproofing FSM Verification Automated Approach to Detect Corner Case Issues in an FSM Design Bulletproofing FSM Verification Automated Approach to Detect Corner Case Issues in an FSM Design Lisa Piper Technical Marketing Real Intent Inc., Sunnyvale, CA Comprehensive verification of Finite State

More information

Assignment 1 Design module FA(a,b,c,sum,carry); //inputs input a,b,c; //outputs output sum,carry;

Assignment 1 Design module FA(a,b,c,sum,carry); //inputs input a,b,c; //outputs output sum,carry; Assignment 1 Design module FA(a,b,c,sum,carry); //inputs input a,b,c; //outputs output sum,carry; //full adder assignments. assign sum=(a^b^c); assign carry=((a&b) (b&c) (c&a)); module module multiplier(output

More information

Logic Verification 13-1

Logic Verification 13-1 Logic Verification 13-1 Verification The goal of verification To ensure 100% correct in functionality and timing Spend 50 ~ 70% of time to verify a design Functional verification Simulation Formal proof

More information

Plugging the Holes: SystemC and VHDL Functional Coverage Methodology

Plugging the Holes: SystemC and VHDL Functional Coverage Methodology Plugging the Holes: SystemC and VHDL Functional Coverage Methodology Pankaj Singh Infineon Technologies Pankaj.Singh@infineon.com Gaurav Kumar Verma Mentor Graphics Gaurav-Kumar_Verma@mentor.com ABSTRACT

More information

Using bind for Class-based Testbench Reuse with Mixed- Language Designs

Using bind for Class-based Testbench Reuse with Mixed- Language Designs Using bind for Class-based Testbench Reuse with Mixed- Language Designs Doug Smith Doulos Morgan Hill, California, USA doug.smith@doulos.com ABSTRACT Significant effort goes into building block-level class-based

More information

Verilog 1 - Fundamentals

Verilog 1 - Fundamentals Verilog 1 - Fundamentals FA FA FA FA module adder( input [3:0] A, B, output cout, output [3:0] S ); wire c0, c1, c2; FA fa0( A[0], B[0], 1 b0, c0, S[0] ); FA fa1( A[1], B[1], c0, c1, S[1] ); FA fa2( A[2],

More information

EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis

EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis Jan 31, 2012 John Wawrzynek Spring 2012 EECS150 - Lec05-verilog_synth Page 1 Outline Quick review of essentials of state elements Finite State

More information

Maintaining Consistency Between SystemC and RTL System Designs

Maintaining Consistency Between SystemC and RTL System Designs 7.2 Maintaining Consistency Between SystemC and RTL System Designs Alistair Bruce 152 Rockingham Street Sheffield, UK S1 4EB alistair.bruce@arm.com M M Kamal Hashmi Spiratech Ltd Carrington Business Park

More information

List of Code Samples. xiii

List of Code Samples. xiii xiii List of Code Samples Sample 1-1 Driving the APB pins 16 Sample 1-2 A task to drive the APB pins 17 Sample 1-3 Low-level Verilog test 17 Sample 1-4 Basic transactor code 21 Sample 2-1 Using the logic

More information

What, If Anything, In SystemVerilog Will Help Me With FPGA-based Design. Stuart Sutherland, Consultant and Trainer, Sutherland HDL, Inc.

What, If Anything, In SystemVerilog Will Help Me With FPGA-based Design. Stuart Sutherland, Consultant and Trainer, Sutherland HDL, Inc. What, If Anything, In SystemVerilog Will Help Me With FPGA-based Design Stuart Sutherland, Consultant and Trainer, Sutherland HDL, Inc. About the Presenter... Stuart Sutherland, SystemVerilog wizard Independent

More information

UVM for VHDL. Fast-track Verilog for VHDL Users. Cont.

UVM for VHDL. Fast-track Verilog for VHDL Users. Cont. UVM for VHDL Fast-track Verilog for VHDL Users Course Description Verilog for VHDL Users is an intensive 2-day course, converting knowledge of VHDL to practical Verilog skills. Contrasting Verilog and

More information

Reducing the cost of FPGA/ASIC Verification with MATLAB and Simulink

Reducing the cost of FPGA/ASIC Verification with MATLAB and Simulink Reducing the cost of FPGA/ASIC Verification with MATLAB and Simulink Graham Reith Industry Manager Communications, Electronics and Semiconductors MathWorks Graham.Reith@mathworks.co.uk 2015 The MathWorks,

More information

Lab 7 (Sections 300, 301 and 302) Prelab: Introduction to Verilog

Lab 7 (Sections 300, 301 and 302) Prelab: Introduction to Verilog Lab 7 (Sections 300, 301 and 302) Prelab: Introduction to Verilog Name: Sign the following statement: On my honor, as an Aggie, I have neither given nor received unauthorized aid on this academic work

More information

Advanced Digital Verification Nathan Nipper. Cadence NCSim Demonstration John Martiney. Harris Corporation, 10/16/2007. assuredcommunications

Advanced Digital Verification Nathan Nipper. Cadence NCSim Demonstration John Martiney. Harris Corporation, 10/16/2007. assuredcommunications Advanced Digital Verification Nathan Nipper Cadence NCSim Demonstration John Martiney Harris Corporation, 10/16/2007 What is Verification Functional Verification is the task of verifying that the logic

More information

Lab 7 (All Sections) Prelab: Verilog Review and ALU Datapath and Control

Lab 7 (All Sections) Prelab: Verilog Review and ALU Datapath and Control Lab 7 (All Sections) Prelab: Verilog Review and ALU Datapath and Control Name: Sign the following statement: On my honor, as an Aggie, I have neither given nor received unauthorized aid on this academic

More information

A UVM-based AES IP Verification Platform with Automatic Testcases Generation

A UVM-based AES IP Verification Platform with Automatic Testcases Generation Advances in Engineering Research (AER), volume 82 2016 International Conference on Engineering and Advanced Technology (ICEAT-16) A UVM-based AES IP Verification Platform with Automatic Testcases Generation

More information

ECE 274 Digital Logic Verilog

ECE 274 Digital Logic Verilog ECE 274 igital Logic Verilog egister ehavior equential circuits have storage egister: most common storage component N-bit register stores N bits tructure may consist of connected flip-flops I3 I2 I I 3

More information

System-Level Verification Platform using SystemVerilog Layered Testbench & SystemC OOP

System-Level Verification Platform using SystemVerilog Layered Testbench & SystemC OOP , pp.221-230 http://dx.doi.org/10.14257/ijca.2014.7.2.21 System-Level Verification Platform using SystemVerilog Layered Testbench & SystemC OOP Young-Jin Oh and Gi-Yong Song * Department of Electronics

More information

Functional Coverage Development Tips: Do s and Don ts by Samrat Patel, ASIC Verification Engineer, and Vipul Patel, ASIC Engineer, einfochips

Functional Coverage Development Tips: Do s and Don ts by Samrat Patel, ASIC Verification Engineer, and Vipul Patel, ASIC Engineer, einfochips Functional Coverage Development Tips: Do s and Don ts by Samrat Patel, ASIC Verification Engineer, and Vipul Patel, ASIC Engineer, einfochips INTRODUCTION A verification engineer s fundamental goal is

More information

ECEN 468 Advanced Logic Design

ECEN 468 Advanced Logic Design ECEN 468 Advanced Logic Design Lecture 28: Synthesis of Language Constructs Synthesis of Nets v An explicitly declared net may be eliminated in synthesis v Primary input and output (ports) are always retained

More information

Spiral 1 / Unit 4 Verilog HDL. Digital Circuit Design Steps. Digital Circuit Design OVERVIEW. Mark Redekopp. Description. Verification.

Spiral 1 / Unit 4 Verilog HDL. Digital Circuit Design Steps. Digital Circuit Design OVERVIEW. Mark Redekopp. Description. Verification. 1-4.1 1-4.2 Spiral 1 / Unit 4 Verilog HDL Mark Redekopp OVERVIEW 1-4.3 1-4.4 Digital Circuit Design Steps Digital Circuit Design Description Design and computer-entry of circuit Verification Input Stimulus

More information

Hardware Design Verification: Simulation and Formal Method-Based Approaches William K Lam Prentice Hall Modern Semiconductor Design Series

Hardware Design Verification: Simulation and Formal Method-Based Approaches William K Lam Prentice Hall Modern Semiconductor Design Series Design Verification An Introduction Main References Hardware Design Verification: Simulation and Formal Method-Based Approaches William K Lam Prentice Hall Modern Semiconductor Design Series A Roadmap

More information

Verification Planning with Questa Verification Management

Verification Planning with Questa Verification Management Verification Planning with Questa Verification Management by Kishan Kalavadiya and Bhavinkumar Rajubhai Patel, einfochips Verification of complex SoC (System on Chip) requires tracking of all low level

More information

EECS150 - Digital Design Lecture 6 - Logic Simulation

EECS150 - Digital Design Lecture 6 - Logic Simulation EECS150 - Digital Design Lecture 6 - Logic Simulation Sep. 17, 013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John Wawrzynek)

More information

Achieving Determinism in SystemVerilog 3.1 Scheduling Semantics

Achieving Determinism in SystemVerilog 3.1 Scheduling Semantics Achieving Determinism in SystemVerilog 3.1 Scheduling Semantics Phil Moorby, Arturo Salz, Peter Flake, Surrendra Dudani, Tom Fitzpatrick Synopsys, Inc. Abstract The SystemVerilog 3.1 language initiative

More information

SystemVerilog Lecture 3. Prof. Gerald E. Sobelman Dept. of Electrical and Computer Engineering University of Minnesota Minneapolis, MN USA

SystemVerilog Lecture 3. Prof. Gerald E. Sobelman Dept. of Electrical and Computer Engineering University of Minnesota Minneapolis, MN USA SystemVerilog Lecture 3 Prof. Gerald E. Sobelman Dept. of Electrical and Computer Engineering University of Minnesota Minneapolis, MN 55455 USA 1 Outline Design Example: Booth Multiplier Design Example:

More information

VERILOG: TESTING. If you don t test it, it isn t going to work - Mark Horowitz

VERILOG: TESTING. If you don t test it, it isn t going to work - Mark Horowitz VERILOG: TESTING If you don t test it, it isn t going to work - Mark Horowitz Testing Logic blocks (*.v) Will be synthesized into hardware circuits Testing blocks (*.vt OR *_tb.v OR tb_*.v) Pretty much

More information

UPF GENERIC REFERENCES: UNLEASHING THE FULL POTENTIAL

UPF GENERIC REFERENCES: UNLEASHING THE FULL POTENTIAL UPF GENERIC REFERENCES: UNLEASHING THE FULL POTENTIAL Durgesh Prasad, Mentor Graphics (durgesh_prasad@mentor.com) Jitesh Bansal, Mentor Graphics (jitesh_bansal@mentor.com) Abstract: Power Aware verification

More information

Modular SystemVerilog

Modular SystemVerilog SystemVerilog (IEEE 1800 TM ) is a significant new language based on the widely used and industrystandard Verilog hardware description language. The SystemVerilog extensions enhance Verilog in a number

More information

Efficient Failure Triage with Automated Debug: a Case Study by Sean Safarpour, Evean Qin, and Mustafa Abbas, Vennsa Technologies Inc.

Efficient Failure Triage with Automated Debug: a Case Study by Sean Safarpour, Evean Qin, and Mustafa Abbas, Vennsa Technologies Inc. Efficient Failure Triage with Automated Debug: a Case Study by Sean Safarpour, Evean Qin, and Mustafa Abbas, Vennsa Technologies Inc. Functional debug is a dreadful yet necessary part of today s verification

More information

Introduction to Verilog and XILINX

Introduction to Verilog and XILINX DEPARTAMENTO DE TECNOLOGÍA ELECTRÓNICA ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA INFORMÁTICA Introduction to Verilog and XILINX Lab Session Computer Structure WARNING: A written solution of the preliminary

More information

Subject: Scheduling Region Questions and Problems of new SystemVerilog commands

Subject: Scheduling Region Questions and Problems of new SystemVerilog commands Subject: Scheduling Region Questions and Problems of new SystemVerilog commands I have read and re-read sections 14-17 of the SystemVerilog 3.1 Standard multiple times and am still confused about exactly

More information

Digital System Design Verilog-Part III. Amir Masoud Gharehbaghi

Digital System Design Verilog-Part III. Amir Masoud Gharehbaghi Digital System Design Verilog-Part III Amir Masoud Gharehbaghi amgh@mehr.sharif.edu Procedural Blocks initial block always block Place in module body Run concurrently with other module constructs Continuous

More information

Effective Verification of ARM SoCs

Effective Verification of ARM SoCs Effective Verification of ARM SoCs Ron Larson, Macrocad Development Inc. Dave Von Bank, Posedge Software Inc. Jason Andrews, Axis Systems Inc. Overview System-on-chip (SoC) products are becoming more common,

More information

SystemVerilog 3.1: It s What The DAVEs In Your Company Asked For

SystemVerilog 3.1: It s What The DAVEs In Your Company Asked For February 24-26, 2003 SystemVerilog 3.1: It s What The DAVEs In Your Company Asked For Stuart HDL, Inc. www.sutherland-hdl.com 2/27/2003 1 This presentation will Define what is SystemVerilog Provide an

More information

Universal Verification Methodology (UVM) Module 5

Universal Verification Methodology (UVM) Module 5 Universal Verification Methodology (UVM) Module 5 Venky Kottapalli Prof. Michael Quinn Spring 2017 Agenda Assertions CPU Monitor System Bus Monitor (UVC) Scoreboard: Cache Reference Model Virtual Sequencer

More information

Getting Started with UVM. Agenda

Getting Started with UVM. Agenda Getting Started with UVM Vanessa Cooper Verification Consultant 1 Agenda Testbench Architecture Using the Configuration Database Connecting the Scoreboard Register Model: UVM Reg Predictor Register Model:

More information

UVM: The Next Generation in Verification Methodology

UVM: The Next Generation in Verification Methodology UVM: The Next Generation in Verification Methodology Mark Glasser, Methodology Architect February 4, 2011 UVM is a new verification methodology that was developed by the verification community for the

More information

Synthesizable Verilog

Synthesizable Verilog Synthesizable Verilog Courtesy of Dr. Edwards@Columbia, and Dr. Franzon@NCSU http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Design Methodology Structure and Function (Behavior) of a Design HDL

More information

Topics. Midterm Finish Chapter 7

Topics. Midterm Finish Chapter 7 Lecture 9 Topics Midterm Finish Chapter 7 Xilinx FPGAs Chapter 7 Spartan 3E Architecture Source: Spartan-3E FPGA Family Datasheet CLB Configurable Logic Blocks Each CLB contains four slices Each slice

More information

EECS150 - Digital Design Lecture 7 - Computer Aided Design (CAD) - Part II (Logic Simulation) Finite State Machine Review

EECS150 - Digital Design Lecture 7 - Computer Aided Design (CAD) - Part II (Logic Simulation) Finite State Machine Review EECS150 - Digital Design Lecture 7 - Computer Aided Design (CAD) - Part II (Logic Simulation) Feb 9, 2010 John Wawrzynek Spring 2010 EECS150 - Lec7-CAD2 Page 1 Finite State Machine Review State Transition

More information

Assertion Checker Synthesis for FPGA Emulation

Assertion Checker Synthesis for FPGA Emulation Assertion Checker Synthesis for FPGA Emulation Chengjie Zang, Qixin Wei and Shinji Kimura Graduate School of Information, Production and Systems, Waseda University, 2-7 Hibikino, Kitakyushu, 808-0135,

More information

VERILOG 5: TESTING. If you don t test it, it isn t going to work - Mark Horowitz

VERILOG 5: TESTING. If you don t test it, it isn t going to work - Mark Horowitz VERILOG 5: TESTING If you don t test it, it isn t going to work - Mark Horowitz Testing Hardware blocks (*.v) Will be synthesized into hardware circuits Testing blocks (*.vt OR *_tb.v OR tb_*.v) Also called

More information

Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014

Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014 White Paper Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014 Author Helene Thibieroz Sr Staff Marketing Manager, Adiel Khan Sr Staff Engineer, Verification Group;

More information

Perplexing Parameter Permutation Problems? Immunize Your Testbench

Perplexing Parameter Permutation Problems? Immunize Your Testbench Immunize Your Testbench Alex Melikian Paul Marriott Verilab Montreal, Quebec, Canada verilab.com @verilab ABSTRACT RTL parameters are used frequently in designs, especially IPs, in order to increase flexibility

More information

An Efficient Verification Framework for Audio/Video Interface Protocols

An Efficient Verification Framework for Audio/Video Interface Protocols An Efficient Verification Framework for Audio/Video Interface Protocols Noha Shaarawy 1, Mustafa Khairallah, Khaled Khalifa, Hany Salah, Amr Salah and Maged Ghoneima 2 1 Boost Valley, Cairo 11361, Egypt,

More information