Verification of I2C module for Multiprotocol Serial Controller

Size: px
Start display at page:

Download "Verification of I2C module for Multiprotocol Serial Controller"

Transcription

1 e-issn Volume 2 Issue 4, April 2016 pp Scientific Journal Impact Factor : Verification of I2C module for Multiprotocol Serial Controller Subham Punit Patro1, Shreela Dattawadkar2, Shantala Kulkarni3, Dr.Kiran Bailey4,Sunil Matange5 1 Department of Electronics & Communication, BMS College of Engineering, subhampatro1994@gmail.com 2 Department of Electronics & Communication, BMS College of Engineering, shreelavd@gmail.com 3 Department of Electronics & Communication, BMS College of Engineering, shantala987@gmail.com 4 Department of Electronics & Communication, BMS College of Engineering, kiran.ece@bmsce.ac.in 5 Department of Electronics & Communication, BMS College of Engineering,shamtange@gmail.com Abstract Verification is an important aspect of any design. It is the process used to demonstrate the functional correctness of the design prior to its fabrication. Functional verification, in electronic design automation, is the task of verifying that the logic design conforms to specification. In everyday terms, functional verification attempts to answer the question "Does this proposed design do what is intended?" This is a complex task, and takes the majority of time and effort in most large electronic system design projects. Functional verification is a part of more encompassing design verification, which, besides functional verification, considers non-functional aspects like timing, layout and power. The I2C controller is one of the serial communication modules in multi-protocol serial controller. It communicates with the CPU through an interface. The I2C is a multi-master bus. The I2C interface uses a serial data line (SDA) and a serial clock line (SCL) for data transfers. Each data byte is 8 bits long. The main aim of this project is to verify the functional correctness of the I2C Module for Multiprotocol Serial Controller. The verification will be carried out in SystemVerilog using the layered testbench (LTB) architecture and waveforms and coverage reports will be generated and analysed to check the functional correctness of the design. It will also give us a glimpse of how the design would behave in erroneous conditions. Keywords I2C; LTB (Layered Testbench); Bugs; APB; Verification; Coverage; SystemVerilog. I. INTRODUCTION The I²C (Inter-Integrated Circuit) bus was developed in the early 1980's by Philips Semiconductors (now NXP Semiconductors). It is typically used for attaching lower-speed peripheral ICs to processors and microcontrollers. Alternatively I²C is spelled I2C or IIC. I2C is a two-wire, bi-directional serial bus that provides a simple and efficient method of data exchange between devices. It is most suitable for short distance communication between devices. It is a multimaster bus with collision detection and arbitration facilities to prevent data corruption in case if more than one master tries to access the bus simultaneously. The Device that provides the clock signal is considered to be master at that time. The I2C interface uses a serial data line (SDA) and a serial clock line (SCL) for data transfers. Data is transferred between a Master and a Slave on the SDA line in synchronization with SCL line on a byte-by-byte basis. Each data byte is 8 bits long. Simulation of a design validates the behavior of design for one particular computation path and is inexpensive in terms of execution time. However, simulation cannot fully ensure the functional correctness of the design. Verification guarantees the correct behavior of the design over the entire set of computation paths. The goals of Verification are to make sure that the design is an accurate representation of the specification, look for bugs or functional discrepancies in the design, ensure that most of the bugs are found before tape-out so that re-spinning cost time and money are reduced, check how the design operates when there are errors. In this project, the I2C module for Multiprotocol Serial Controller will be verified by using Mentor Graphics tools. Verification environment will be a Layered Testbench built by using different All rights Reserved 548

2 II. THE VERIFICATION ENVIRONMENT The SystemVerilog Layered Testbench Architecture used in the project for functional verification is as shown in Figure 1. The top module, in the Layered Testbench Architecture, encloses the RTL, the testbench and the interface. The testbench contains the environment, which in turn encloses the generator, transactor, receiver, scoreboard, driver and the coverage modules for the verification of the RTL. Figure 1 Verification Environment A simulation environment of LTB is typically composed of several types of components: DUT (Design Under Test): This is the RTL file which contains the design to be tested. Design description is given and functionality is implemented by using Verilog code. In this project the DUT is the combination of I2C with the interface block which generates I2C signals by using the APB signals. Figure 2 shows the Design Under Test. The DUT has signal connections to APB bus as well as I2C bus. On the APB side, signals such as PRESETn, PCLK, PSEL, PENABLE, PWRITE, PADDR and PWDATA are inputs to the DUT while PRDATA is an output. The I2C block represented here is the I2C Slave module. On the I2C side, SCL_out, SCL_en, SDA_out and SDA_en are the outputs of the DUT whereas SCL_in and SDA_in are the inputs. Figure 2 Design Under Test 549

3 INTERFACE: Interface block contains all the signals (inputs and outputs). It is used to define the inputs and outputs and also to connect the design and testbench. The modport blocks define the direction of ports for the signals. The clocking blocks include the synchronous signals and take care of timing. Assertions (concurrent or immediate) are also defined inside this block. Assertions are essential to estimate the correct functionality of a design in specific cases. TOP MODULE: In the top module, the design (Verilog RTL), interface and testbench modules are included and their instances are created. Clock is generated in this module. The monitor statement is also included in this module to see the inputs and outputs along with time instant. System task $dumpfile is used to create the.vcd file. ENVIRONMENT: The environment class envelopes all the classes in one block and establishes connections between them. The instances of all classes and the mailboxes needed are declared. Build function and Start task are included. TRANSACTOR: Transactor class will include the declaration of random signals (rand) or random signals with constraints (randc). The constraints for rand signals are applied here. Display function is included to see the values of signals in this class. Compare function is also defined. GENERATOR: The generator class is where the randomization happens. The rand signals declared in transactor class and randomized in this class and sent to the driver class. The mailbox connects this class to driver class. DRIVER: The driver class is connected to generator and scoreboard classes through mailboxes. It receives the randomized signals from the generator and applies them to the interface block signals. It also sends them to scoreboard. RECEIVER: The receiver class is connected to scoreboard through mailbox. It is used to send the data received from interface block to the scoreboard. COVERAGE: The coverage class contains covergroups needed for functional coverage. The bins for all coverpoints are defined. The randomized signals are sampled here. SCOREBOARD: Scoreboard is connected to driver and receiver through mailboxes. It receives signals from both through the mailboxes and compares the signals to see if they are equal. TESTBENCH (TEST): The testbench is a program block in which the environment class is included. The build and start functions of environment class are called here. All the test cases are exercised in the testbench. Figure 3 shows the design of the I2C block which was to be verified. 550

4 Figure 3 I2C Block Diagram III. IMPLEMENTATION The Project implementation was divided into four different phases: Study, Documentation, Implementation and Verification. For every phase, the steps that were carried out as per the plan have been listed below Study phase The tools required for the project execution (SVN and Bugzilla) were set up and demonstrations were carried out to help everyone understand the usage. A common directory structure was included in the SVN tool for all the teams to follow and update. With respect to I2C, the datasheets of PCF8584 and PCA9564 were referred and studied in detail. The design specifications to be implemented were shortlisted. The templates for Design Document and Verification Document were discussed in detail and finalised Documentation The various test features were extracted from the PCA9564 datasheet. The Verification document was updated by adding the Overview, Resources, Budget and Schedule, Verification Environment, System Verilog Verification Flow etc. Document Change Record was added to the Verification document. The test cases which are to be given as inputs to the I2C block were built by studying the flowcharts of the various I2C modes. The assertion cases were moved to stage 3. The basic outline of classes in the environment was built and the work for Implementation phase was discussed. 551

5 3.3. Implementation The block diagram of the DUT to be verified was developed. The Verification document was updated by adding the Feature extraction plan, Stimulus generation plan, Coverage plan etc. The modification of classes to suit the project requirement was discussed. The assertions and directed testcases were written to pump the inputs to I2C block. The extra features to be added to test bench architecture were studied Verification The test cases were incorporated into the layered testbench. Verification Document Template was updated. Test cases for the extracted features were written. Coverage reports were generated for few blocks of I2C. IV. RESULTS The verification of three blocks of I2C module i.e. the Register Access block, SDA enable block and the Clock Divider block was carried out using SystemVerilog LTB. Few bugs were found during the verification which got assigned to the design team on the Bugzilla software. The waveforms of the design were obtained and were verified. The Code Coverage and the Functional Coverage reports were generated. The waveforms and the coverage obtained are shown as below REGISTER ACCESS BLOCK Figure 4 Code Coverage obtained for Register Access Block Figure 5 Summary of the Coverage 552

6 Figure 6 Functional Coverage obtained for Register Access Block Figure 7 Waveforms obtained for Register Access Block SDA ENABLE LOGIC Figure 8 Functional Coverage for SDA Enable Logic 553

7 Figure 9 Code Coverage for SDA Enable Logic Figure 10 Waveforms for SDA Enable Logic CLOCK DIVIDER BLOCK Figure 11 Functional Coverage for Clock Divider Figure 12 Coverage Report for Clock Divider 554

8 V. CONCLUSION Feature Extraction for PCA9564 has been done. Testbench for Slave Receiver Mode with Fault Handler has been developed The Register Access Block, SDA enable block and the Clock Divider block were verified using the Layered TestBench Architecture in the Mentor Graphics tool. Functional coverage and Code coverage has been done and the various reports are generated. The Version Control Tool (SVN) and the Bug Tracking Tool (Bugzilla) have been used to keep track of the codes and the bugs. VI. FUTURE WORK The test cases which were written for the extracted features can be used in future to test the different features of the I2C Module. The testbench can be automated for running different test cases. Master and slave modules can be integrated in Test bench to account for arbitration and multi-master modes. There are four modes of operation for the I2C Master Transmitter, Master Receiver, Slave Transmitter and Slave Receiver. Only few blocks of Slave Receiver Mode have been verified in this project. The other modes and the features related to those modes needs to be verified. REFERENCES [1] Santosh Kumar Patro, Jyoti Prakash Sahoo, Development of Open Verification IP For I2C Controller, a thesis, NIT Rourkela, [2] T Tarun Kumar, CY Gopinath, Verification of I2C Master Core using SystemVerilog-UVM, International Journal of Science and Research (IJSR),ISSN (Online): , Volume 3 Issue 6, June [3] Chris Spear, Greg Tumbush, SystemVerilog for Verification, Third Edition, [4] SystemVerilog 3.1a Language Reference Manual Accellera s Extensions to Verilog, Accellera Organization, Inc. [5] Mulani P., Patoliya J., Patel H., Chauhan D., Verification of I2C DUT using SystemVerilog, International Journal of Advanced Engineering Technology, Oct.-Dec., Vol. 1, No. 3, pp [6] Rakhi Nangia, Neeraj Kr. Shukla, Functional verification of I2C core using SystemVerilog, International Journal of Engineering, Science and Technology, Vol. 6, No. 4, 2014, pp [7] K Surya Narayana Reddy, K Jansi Lakshmi, Design and Verification of USB-I2C Bridge Protocol by OVM, IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: ,p- ISSN: Volume 8, Issue 2 (Nov. - Dec. 2013), PP [8] UVM, OVM and VMM description: [9] B.Santosh Kumar, L. Ravi Chandra, A. L. G. N. Aditya, Fazal Noor Basha, T. Praveen Blessington, Design and Functional Verification of I2C Master Core using OVM, International Journal of Soft Computing and Engineering (IJSCE) ISSN: , Volume-2, Issue-2, May [10] Deepa Kaith, Janakkumar B. Patel, Neeraj Gupta, An Introduction to Functional Verification of I2C Protocol using UVM, International Journal of Computer Applications ( ) Volume 121 No.13, July [11] Chhikara J., Sinha R., Kaila. S., Implementing Communication Bridge between I2C and APB, Computational Intelligence & Communication Technology (CICT), 2015 IEEE International Conference. [12] Mulani, P.D., SoC Level Verification Using System Verilog, Emerging Trends in Engineering and Technology (ICETET), nd International Conference. [13] NXP Semiconductors, I2C-bus specification and user manual, Rev. 6-4 April

COVERAGE DRIVEN VERIFICATION OF I2C PROTOCOL USING SYSTEM VERILOG

COVERAGE DRIVEN VERIFICATION OF I2C PROTOCOL USING SYSTEM VERILOG International Journal of Advanced Research in Engineering and Technology (IJARET) Volume 7, Issue 3, May June 2016, pp. 103 113, Article ID: IJARET_07_03_010 Available online at http://www.iaeme.com/ijaret/issues.asp?jtype=ijaret&vtype=7&itype=3

More information

APB4 GPIO. APB4 GPIO Datasheet Roa Logic, All rights reserved

APB4 GPIO. APB4 GPIO Datasheet Roa Logic, All rights reserved 1 APB4 GPIO Datasheet 2 Introduction The APB4 GPIO Core is fully parameterised core designed to provide a userdefined number of general purpose, bidirectional IO to a design. The IO are accessible via

More information

IMPLEMENTATION OF LOW POWER INTERFACE FOR VERIFICATION IP (VIP) OF AXI4 PROTOCOL

IMPLEMENTATION OF LOW POWER INTERFACE FOR VERIFICATION IP (VIP) OF AXI4 PROTOCOL e-issn 2455 1392 Volume 2 Issue 8, August 2016 pp. 1 8 Scientific Journal Impact Factor : 3.468 http://www.ijcter.com IMPLEMENTATION OF LOW POWER INTERFACE FOR VERIFICATION IP (VIP) OF AXI4 PROTOCOL Bhavana

More information

DEVELOPMENT AND VERIFICATION OF AHB2APB BRIDGE PROTOCOL USING UVM TECHNIQUE

DEVELOPMENT AND VERIFICATION OF AHB2APB BRIDGE PROTOCOL USING UVM TECHNIQUE DEVELOPMENT AND VERIFICATION OF AHB2APB BRIDGE PROTOCOL USING UVM TECHNIQUE N.G.N.PRASAD Assistant Professor K.I.E.T College, Korangi Abstract: The AMBA AHB is for high-performance, high clock frequency

More information

An Efficient Designing of I2C Bus Controller Using Verilog

An Efficient Designing of I2C Bus Controller Using Verilog American International Journal of Research in Science, Technology, Engineering & Mathematics Available online at http://www.iasir.net ISSN (Print): 2328-3491, ISSN (Online): 2328-3580, ISSN (CD-ROM): 2328-3629

More information

Design and Verification of Slave Block in Ethernet Management Interface using UVM

Design and Verification of Slave Block in Ethernet Management Interface using UVM Indian Journal of Science and Technology, Vol 9(5), DOI: 10.17485/ijst/2016/v9i5/87173, February 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Design and Verification of Slave Block in Ethernet

More information

Design and Coverage Driven Verification of AXI2OCP Bridge for Industrial SoC Designs

Design and Coverage Driven Verification of AXI2OCP Bridge for Industrial SoC Designs Design and Coverage Driven Verification of AXI2OCP Bridge for Industrial SoC Designs Limbanna. P. Rathod, Dr. Jayanthi. K. Murthy, Mr. Shaik Chand Basha Post Graduate student, Associate Professor - Dept.

More information

Design and Verification of Serial Peripheral Interface 1 Ananthula Srinivas, 2 M.Kiran Kumar, 3 Jugal Kishore Bhandari

Design and Verification of Serial Peripheral Interface 1 Ananthula Srinivas, 2 M.Kiran Kumar, 3 Jugal Kishore Bhandari Design and Verification of Serial Peripheral Interface ISSN: 2321-9939 Design and Verification of Serial Peripheral Interface 1 Ananthula Srinivas, 2 M.Kiran Kumar, 3 Jugal Kishore Bhandari 1,3 MTech Student,

More information

VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE

VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE Aparna Kharade 1 and V. Jayashree 2 1 Research Scholar, Electronics Dept., D.K.T.E. Society's Textile and Engineering Institute, Ichalkaranji, Maharashtra, India.

More information

DESIGN AND VERIFICATION ANALYSIS OF APB3 PROTOCOL WITH COVERAGE

DESIGN AND VERIFICATION ANALYSIS OF APB3 PROTOCOL WITH COVERAGE DESIGN AND VERIFICATION ANALYSIS OF APB3 PROTOCOL WITH COVERAGE Akhilesh Kumar and Richa Sinha Department of E&C Engineering, NIT Jamshedpur, Jharkhand, India ABSTRACT Today in the era of modern technology

More information

International Journal of Applied Sciences, Engineering and Management ISSN , Vol. 05, No. 02, March 2016, pp

International Journal of Applied Sciences, Engineering and Management ISSN , Vol. 05, No. 02, March 2016, pp Design of High Speed AMBA APB Master Slave Burst Data Transfer for ARM Microcontroller Kottu Veeranna Babu 1, B. Naveen Kumar 2, B.V.Reddy 3 1 M.Tech Embedded Systems Student, Vikas College of Engineering

More information

A User s Experience with SystemVerilog

A User s Experience with SystemVerilog A User s Experience with SystemVerilog and Doulos Ltd Ringwood, U.K. BH24 1AW jonathan.bromley@doulos.com michael.smith@doulos.com 2 Objectives Practical use of SystemVerilog Synopsys tools (VCS, Design

More information

6 Month Certificate Program in VLSI Design & Verification" with Industry Level Projects. Tevatron Technologies Prívate Limited

6 Month Certificate Program in VLSI Design & Verification with Industry Level Projects. Tevatron Technologies Prívate Limited 6 Month Certificate Program in VLSI Design & Verification" with Industry Level Projects.. : Tevatron Technologies Prívate Limited Embedded! Robotics! IoT! VLSI Design! Projects! Technical Consultancy!

More information

Verification of Advanced High Speed Bus in UVM Methodology

Verification of Advanced High Speed Bus in UVM Methodology 373 Verification of Advanced High Speed Bus in UVM Methodology Malla Siva Ramakrishna 1, Badireddy Satya Sridevi 2 1 Student, Dept. of Electronics and Communications Engg., Aditya Engineering College,

More information

VERIFICATION OF AMBA AXI BUS PROTOCOL IMPLEMENTING INCR AND WRAP BURST USING SYSTEM VERILOG

VERIFICATION OF AMBA AXI BUS PROTOCOL IMPLEMENTING INCR AND WRAP BURST USING SYSTEM VERILOG VERIFICATION OF AMBA AXI BUS PROTOCOL IMPLEMENTING INCR AND WRAP BURST USING SYSTEM VERILOG Harsha Garua 1, Keshav Sharma 2, Chusen Duari 3 1 Manipal University Jaipur 2 Manipal University Jaipur 3 Assistant

More information

INDUSTRIAL TRAINING: 6 MONTHS PROGRAM TEVATRON TECHNOLOGIES PVT LTD

INDUSTRIAL TRAINING: 6 MONTHS PROGRAM TEVATRON TECHNOLOGIES PVT LTD 6 Month Industrial Internship in VLSI Design & Verification" with Industry Level Projects. CURRICULUM Key features of VLSI-Design + Verification Module: ASIC & FPGA design Methodology Training and Internship

More information

Verification of AHB Protocol using UVM

Verification of AHB Protocol using UVM Verification of AHB Protocol using UVM Tejaswini H N 1 Asst. Prof. Dept. of ECE, Sambhram Inst of Technology, Revati Bothe 2 Team leader, SmartPlay Technologies Ravishankar C V 3 Prof. Dept. of ECE Sambhram

More information

DESIGN AND IMPLEMENTATION OF I2C SINGLE MASTER ON FPGA USING VERILOG

DESIGN AND IMPLEMENTATION OF I2C SINGLE MASTER ON FPGA USING VERILOG DESIGN AND IMPLEMENTATION OF I2C SINGLE MASTER ON FPGA USING VERILOG Shivani Mehrotra 1, Nisha Charaya *2 1 M.Tech (ECE), 2 Assistant Professor, Amity University Gurgaon (Haryana), India Abstract: This

More information

esi-multichannel Timer

esi-multichannel Timer 1 Contents 1 Contents 2 2 Overview 3 3 Hardware Interface 4 4 Software Interface 5 4.1 Register Map 5 4.2 Interrupts 6 Version 2.2 - Confidential 2 of 6 2010 EnSilica Ltd, All Rights Reserved 2 Overview

More information

VERIFICATION OF AHB PROTOCOL USING SYSTEM VERILOG ASSERTIONS

VERIFICATION OF AHB PROTOCOL USING SYSTEM VERILOG ASSERTIONS VERIFICATION OF AHB PROTOCOL USING SYSTEM VERILOG ASSERTIONS Nikhil B. Gaikwad 1, Vijay N. Patil 2 1 P.G. Student, Electronics & Telecommunication Department, Pimpri Chinchwad College of Engineering, Pune,

More information

Architectural design proposal for real time clock for wireless microcontroller unit

Architectural design proposal for real time clock for wireless microcontroller unit Architectural design proposal for real time clock for wireless microcontroller unit Muhammad Nor Azwan Mohd Alias 1, *, and Shaiful Nizam Mohyar 1 1 School of Microelectronic Engineering, University Malaysia

More information

Roa Logic. APB4 Multiplexer. Datasheet. October, c Roa Logic B.V.

Roa Logic. APB4 Multiplexer. Datasheet.   October, c Roa Logic B.V. Roa Logic Silicon Proven IP for FPGA and ASIC www.roalogic.com APB4 Multiplexer Datasheet http://roalogic.github.io/plic October, 2017 c Roa Logic B.V. Contents 1 Introduction 1 1.1 Features......................................

More information

Sunburst Design - SystemVerilog UVM Verification Training by Recognized Verilog & SystemVerilog Guru, Cliff Cummings of Sunburst Design, Inc.

Sunburst Design - SystemVerilog UVM Verification Training by Recognized Verilog & SystemVerilog Guru, Cliff Cummings of Sunburst Design, Inc. World Class SystemVerilog & UVM Training Sunburst Design - SystemVerilog UVM Verification Training by Recognized Verilog & SystemVerilog Guru, Cliff Cummings of Sunburst Design, Inc. Cliff Cummings is

More information

Veloce2 the Enterprise Verification Platform. Simon Chen Emulation Business Development Director Mentor Graphics

Veloce2 the Enterprise Verification Platform. Simon Chen Emulation Business Development Director Mentor Graphics Veloce2 the Enterprise Verification Platform Simon Chen Emulation Business Development Director Mentor Graphics Agenda Emulation Use Modes Veloce Overview ARM case study Conclusion 2 Veloce Emulation Use

More information

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology)

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology) 1 Introduction............................................... 1 1.1 Functional Design Verification: Current State of Affair......... 2 1.2 Where Are the Bugs?.................................... 3 2 Functional

More information

PG DIPLOMA COURSE IN VERIFICATION USING SYSTEMVERILOG & UVM NEOSCHIP TECHNOLOGIES

PG DIPLOMA COURSE IN VERIFICATION USING SYSTEMVERILOG & UVM NEOSCHIP TECHNOLOGIES PG DIPLOMA COURSE IN VERIFICATION USING SYSTEMVERILOG & UVM An Initiative by Industry Experts With Qualification from IITs and IISCs Address: NEOSCHIP TECHNOLOGIES 3rd Floor, Sai Durga Enclave, 1099/833-1,

More information

Graph-Based Verification in a UVM Environment

Graph-Based Verification in a UVM Environment Graph-Based Verification in a UVM Environment Staffan Berg European Applications Engineer July 2012 Graph-Based Intelligent Testbench Automation (itba) Welcome DVClub Attendees Organizers Presenters Verification

More information

The Cubesat Internal bus: The I2C

The Cubesat Internal bus: The I2C The Cubesat Internal bus: The I2C Description: The purpose of this document is to describe the internal bus on the Cubesat. The internal bus has been chosen to be the I2C bus Interconnected Integrated

More information

System Verification of Hardware Optimization Based on Edge Detection

System Verification of Hardware Optimization Based on Edge Detection Circuits and Systems, 2013, 4, 293-298 http://dx.doi.org/10.4236/cs.2013.43040 Published Online July 2013 (http://www.scirp.org/journal/cs) System Verification of Hardware Optimization Based on Edge Detection

More information

width: 10, 20 or 40-bit interface maximum number of lanes in any direction

width: 10, 20 or 40-bit interface maximum number of lanes in any direction MIPI LLI Verification using Questa Verification IP by Vaibhav Gupta, Lead Member Technical Staff and Yogesh Chaudhary, Consulting Staff, Mentor Graphics This article describes how incorporating LLI Questa

More information

DESIGN A APPLICATION OF NETWORK-ON-CHIP USING 8-PORT ROUTER

DESIGN A APPLICATION OF NETWORK-ON-CHIP USING 8-PORT ROUTER G MAHESH BABU, et al, Volume 2, Issue 7, PP:, SEPTEMBER 2014. DESIGN A APPLICATION OF NETWORK-ON-CHIP USING 8-PORT ROUTER G.Mahesh Babu 1*, Prof. Ch.Srinivasa Kumar 2* 1. II. M.Tech (VLSI), Dept of ECE,

More information

FP&A Simulation. A Complete Step-by-Step Guide. Ray Salemi

FP&A Simulation. A Complete Step-by-Step Guide. Ray Salemi FP&A Simulation A Complete Step-by-Step Guide Ray Salemi Contents Acknowledgments vii Foreword ix Preface xi The Boiled Frog 1 A Boiled Story 3 Root Cause Analysis 4 The "Verification Complete" Milestone

More information

EECS 373 Design of Microprocessor-Based Systems

EECS 373 Design of Microprocessor-Based Systems EECS 373 Design of Microprocessor-Based Systems Branden Ghena University of Michigan Lecture 4: Memory-Mapped I/O, Bus Architectures September 11, 2014 Slides developed in part by Mark Brehob & Prabal

More information

EECS 373 Design of Microprocessor-Based Systems

EECS 373 Design of Microprocessor-Based Systems EECS 373 Design of Microprocessor-Based Systems Ron Dreslinski University of Michigan Lecture 4: Bit of assembly, Memory-mapped I/O, APB January 16, 2018 1 Admin HW2 Due Thursday. HW1 answers posted as

More information

Efficient Failure Triage with Automated Debug: a Case Study by Sean Safarpour, Evean Qin, and Mustafa Abbas, Vennsa Technologies Inc.

Efficient Failure Triage with Automated Debug: a Case Study by Sean Safarpour, Evean Qin, and Mustafa Abbas, Vennsa Technologies Inc. Efficient Failure Triage with Automated Debug: a Case Study by Sean Safarpour, Evean Qin, and Mustafa Abbas, Vennsa Technologies Inc. Functional debug is a dreadful yet necessary part of today s verification

More information

SPECMAN-E TESTBENCH. Al. GROSU 1 M. CARP 2

SPECMAN-E TESTBENCH. Al. GROSU 1 M. CARP 2 Bulletin of the Transilvania University of Braşov Vol. 11 (60) No. 1-2018 Series I: Engineering Sciences SPECMAN-E TESTBENCH Al. GROSU 1 M. CARP 2 Abstract: The scope of this document is to present a Verification

More information

Using bind for Class-based Testbench Reuse with Mixed- Language Designs

Using bind for Class-based Testbench Reuse with Mixed- Language Designs Using bind for Class-based Testbench Reuse with Mixed- Language Designs Doug Smith Doulos Morgan Hill, California, USA doug.smith@doulos.com ABSTRACT Significant effort goes into building block-level class-based

More information

Hardware Implementation of AMBA Processor Interface Using Verilog and FPGA

Hardware Implementation of AMBA Processor Interface Using Verilog and FPGA Hardware Implementation of AMBA Processor Interface Using Verilog and FPGA Iqbalur Rahman Rokon, Toufiq Rahman, and Ahsanuzzaman Abstract - In this paper, the design of AMBA processor interface and its

More information

HCTL Open Int. J. of Technology Innovations and Research HCTL Open IJTIR, Volume 4, July 2013 e-issn: ISBN (Print):

HCTL Open Int. J. of Technology Innovations and Research HCTL Open IJTIR, Volume 4, July 2013 e-issn: ISBN (Print): Design, Implementation and Functional Verification of Serial Communication Protocols (SPI and I2C) on FPGAs Amit Kumar Shrivastava and Himanshu Joshi amit0404@gmail.com Abstract Today, at the low end of

More information

CoreAHBtoAPB3 v3.1. Handbook

CoreAHBtoAPB3 v3.1. Handbook CoreAHBtoAPB3 v3.1 Handbook CoreAHBtoAPB3 v3.1 Handbook Table of Contents Introduction... 3 Core Overview... 3 Key Features... 3 Supported Microsemi FPGA Families... 3 Core Version... 4 Supported Interfaces...

More information

DESIGNING OF INTER INTEGRATED CIRCUIT USING VERILOG

DESIGNING OF INTER INTEGRATED CIRCUIT USING VERILOG DESIGNING OF INTER INTEGRATED CIRCUIT USING VERILOG DISHA MALIK Masters of Technology Scholar, Department of Electronics & Communication Engineering, Jayoti Vidyapeeth Women s University, Jaipur INDIA

More information

Sunburst Design - Advanced SystemVerilog for Design & Verification by Recognized Verilog & SystemVerilog Guru, Cliff Cummings of Sunburst Design, Inc.

Sunburst Design - Advanced SystemVerilog for Design & Verification by Recognized Verilog & SystemVerilog Guru, Cliff Cummings of Sunburst Design, Inc. World Class Verilog & SystemVerilog Training Sunburst Design - Advanced SystemVerilog for Design & Verification by Recognized Verilog & SystemVerilog Guru, Cliff Cummings of Sunburst Design, Inc. Cliff

More information

UVM BASED TEST BENCH TO VERIFY AMBA AXI4 SLAVE PROTOCOL

UVM BASED TEST BENCH TO VERIFY AMBA AXI4 SLAVE PROTOCOL UVM BASED TEST BENCH TO VERIFY AMBA AXI4 SLAVE PROTOCOL Smitha A P1, Ashwini S2 1 M.Tech VLSI Design and Embedded Systems, ECE Dept. 2 Assistant Professor, ECE Dept. NCET, Bengaluru, India. ---------------------------------------------------------------------***---------------------------------------------------------------------

More information

List of Code Samples. xiii

List of Code Samples. xiii xiii List of Code Samples Sample 1-1 Driving the APB pins 16 Sample 1-2 A task to drive the APB pins 17 Sample 1-3 Low-level Verilog test 17 Sample 1-4 Basic transactor code 21 Sample 2-1 Using the logic

More information

System-Level Verification Platform using SystemVerilog Layered Testbench & SystemC OOP

System-Level Verification Platform using SystemVerilog Layered Testbench & SystemC OOP , pp.221-230 http://dx.doi.org/10.14257/ijca.2014.7.2.21 System-Level Verification Platform using SystemVerilog Layered Testbench & SystemC OOP Young-Jin Oh and Gi-Yong Song * Department of Electronics

More information

ASIC world. Start Specification Design Verification Layout Validation Finish

ASIC world. Start Specification Design Verification Layout Validation Finish AMS Verification Agenda ASIC world ASIC Industrial Facts Why Verification? Verification Overview Functional Verification Formal Verification Analog Verification Mixed-Signal Verification DFT Verification

More information

Responding to TAT Improvement Challenge through Testbench Configurability and Re-use

Responding to TAT Improvement Challenge through Testbench Configurability and Re-use Responding to TAT Improvement Challenge through Testbench Configurability and Re-use Akhila M, Kartik Jain, Renuka Devi, Mukesh Bhartiya Accellera Systems Initiative 1 Motivation Agenda Generic AMBA based

More information

e-issn: p-issn:

e-issn: p-issn: Available online at www.ijiere.com International Journal of Innovative and Emerging Research in Engineering e-issn: 2394-3343 p-issn: 2394-5494 PCIe CHECKER LIBRARY GENERATION OF SEQUENCES & DRIVER COMPONENT

More information

VERIFICATION OF DRIVER LOGIC USING AMBA- AXI UVM

VERIFICATION OF DRIVER LOGIC USING AMBA- AXI UVM VERIFICATION OF DRIVER LOGIC USING AMBA- AXI UVM Bijal Thakkar 1 and V Jayashree 2 1 Research Scholar, Electronics Dept., D.K.T.E. Society's Textile and Engineering Institute, Ichalkaranji, Maharashtra,

More information

Functional Verification of xhci (extensible host controller Interface) for USB 3.1 Using HDL

Functional Verification of xhci (extensible host controller Interface) for USB 3.1 Using HDL Functional Verification of xhci (extensible host controller Interface) for USB 3.1 Using HDL 1 Mr. Dipesh Gehani, 2 Prof. Ketan N. Patel, M.E. Student, Assistant Professor Vishwakarma Government Engineering

More information

Pooja Kawale* et al ISSN: [IJESAT] [International Journal of Engineering Science & Advanced Technology] Volume-6, Issue-3,

Pooja Kawale* et al ISSN: [IJESAT] [International Journal of Engineering Science & Advanced Technology] Volume-6, Issue-3, Pooja Kawale* et al ISSN: 2250-3676 [IJESAT] [International Journal of Engineering Science & Advanced Technology] Volume-6, Issue-3, 161-165 Design of AMBA Based AHB2APB Bridge Ms. Pooja Kawale Student

More information

Serial Peripheral Interface. What is it? Basic SPI. Capabilities. Protocol. Pros and Cons. Uses

Serial Peripheral Interface. What is it? Basic SPI. Capabilities. Protocol. Pros and Cons. Uses Serial Peripheral Interface What is it? Basic SPI Capabilities Protocol Serial Peripheral Interface http://upload.wikimedia.org/wikipedia/commons/thumb/e/ed/ SPI_single_slave.svg/350px-SPI_single_slave.svg.png

More information

VERIFICATION OF AXIPROTOCOL SYSTEM VERILOG

VERIFICATION OF AXIPROTOCOL SYSTEM VERILOG International Journal of Mechanical Engineering and Technology (IJMET) Volume 8, Issue 5, May 2017, pp. 588 595, Article ID: IJMET_08_05_065 Available online at http://www.ia aeme.com/ijmet/issues.asp?jtype=ijmet&vtyp

More information

Assertion Based Verification of AMBA-AHB Using System Verilog

Assertion Based Verification of AMBA-AHB Using System Verilog Assertion Based Verification of AMBA-AHB Using System Verilog N.Karthik M.Tech VLSI, CMR Institute of Technology, Kandlakoya Village, Medchal Road, Hyderabad, Telangana 501401. M.Gurunadha Babu Professor

More information

Embedded Workshop 10/28/15 Rusty Cain

Embedded Workshop 10/28/15 Rusty Cain 2 IC Embedded Workshop 10/28/15 Rusty Cain Set up for Workshop: Please Sign in on Sheet. Please include your email. While you are waiting for the Workshop to begin 1. Make sure you are connected to the

More information

THE INTERNATIONAL JOURNAL OF SCIENCE & TECHNOLEDGE

THE INTERNATIONAL JOURNAL OF SCIENCE & TECHNOLEDGE THE INTERNATIONAL JOURNAL OF SCIENCE & TECHNOLEDGE Assertion Based Verification of I2C Master Bus Controller with RTC Sagar T. D. M.Tech Student, VLSI Design and Embedded Systems BGS Institute of Technology,

More information

EECS 373 Design of Microprocessor-Based Systems

EECS 373 Design of Microprocessor-Based Systems EECS 373 Design of Microprocessor-Based Systems Ron Dreslinski University of Michigan Lecture 5: Memory-mapped I/O review, APB, Mostly APB though J January 18 th 2018 1 Administra,ve Midterm Exam: Monday,

More information

DESIGN AND VERIFICATION OF LOW SPEED PERIPHERAL SUBSYSTEM SUPPORTING PROTOCOLS LIKE SPI, I 2 C AND UART

DESIGN AND VERIFICATION OF LOW SPEED PERIPHERAL SUBSYSTEM SUPPORTING PROTOCOLS LIKE SPI, I 2 C AND UART DESIGN AND VERIFICATION OF LOW SPEED PERIPHERAL SUBSYSTEM SUPPORTING PROTOCOLS LIKE SPI, I 2 C AND UART Shanthipriya S 1 and Lakshmi S 2 1 Masters of Technology Very Large Scale Integrated, Sathyabama

More information

SystemVerilog Verification of Wishbone- Compliant Serial Peripheral Interface

SystemVerilog Verification of Wishbone- Compliant Serial Peripheral Interface Rochester Institute of Technology RIT Scholar Works Theses Thesis/Dissertation Collections 12-2017 SystemVerilog Verification of Wishbone- Compliant Serial Peripheral Interface Avinash Srinivasan as7409@rit.edu

More information

Universal Verification Methodology (UVM) Module 5

Universal Verification Methodology (UVM) Module 5 Universal Verification Methodology (UVM) Module 5 Venky Kottapalli Prof. Michael Quinn Spring 2017 Agenda Assertions CPU Monitor System Bus Monitor (UVC) Scoreboard: Cache Reference Model Virtual Sequencer

More information

EECS 373 Design of Microprocessor-Based Systems

EECS 373 Design of Microprocessor-Based Systems EECS 373 Design of Microprocessor-Based Systems Mark Brehob University of Michigan Lecture 5: Memory-mapped I/O review, APB, start interrupts. Mostly APB though Sept. 19 th 2018 1 Today Memory-mapped I/O

More information

Raspberry Pi - I/O Interfaces

Raspberry Pi - I/O Interfaces ECE 1160/2160 Embedded Systems Design Raspberry Pi - I/O Interfaces Wei Gao ECE 1160/2160 Embedded Systems Design 1 I/O Interfaces Parallel I/O and Serial I/O Parallel I/O: multiple input/output simultaneously

More information

VERIFICATION ANALYSIS OF AHB-LITE PROTOCOL WITH COVERAGE

VERIFICATION ANALYSIS OF AHB-LITE PROTOCOL WITH COVERAGE VERIFICATION ANALYSIS OF AHB-LITE PROTOCOL WITH COVERAGE Richa Sinha 1, Akhilesh Kumar 2 and Archana Kumari Sinha 3 1&2 Department of E&C Engineering, NIT Jamshedpur, Jharkhand, India 3 Department of Physics,

More information

THE DEVELOPMENT OF ADVANCED VERIFICATION ENVIRONMENTS USING SYSTEM VERILOG

THE DEVELOPMENT OF ADVANCED VERIFICATION ENVIRONMENTS USING SYSTEM VERILOG ISSC 2008, Galway, June 18-19 THE DEVELOPMENT OF ADVANCED VERIFICATION ENVIRONMENTS USING SYSTEM VERILOG Martin Keaveney, Anthony McMahon, Niall O Keeffe *, Kevin Keane, James O Reilly *Department of Electronic

More information

Design of an Efficient FSM for an Implementation of AMBA AHB in SD Host Controller

Design of an Efficient FSM for an Implementation of AMBA AHB in SD Host Controller Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 4, Issue. 11, November 2015,

More information

EECS 373 Practice Midterm / Homework #3 Fall 2014

EECS 373 Practice Midterm / Homework #3 Fall 2014 Exam #: EECS 373 Practice Midterm / Homework #3 Fall 2014 Name: Uniquename: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: Problem #

More information

UVM for VHDL. Fast-track Verilog for VHDL Users. Cont.

UVM for VHDL. Fast-track Verilog for VHDL Users. Cont. UVM for VHDL Fast-track Verilog for VHDL Users Course Description Verilog for VHDL Users is an intensive 2-day course, converting knowledge of VHDL to practical Verilog skills. Contrasting Verilog and

More information

AXI4-Stream Verification IP v1.0

AXI4-Stream Verification IP v1.0 AXI4-Stream Verification IP v1.0 LogiCORE IP Product Guide Vivado Design Suite Table of Contents IP Facts Chapter 1: Overview Feature Summary..................................................................

More information

FPGA Implementation Of SPI To I2C Bridge

FPGA Implementation Of SPI To I2C Bridge FPGA Implementation Of SPI To I2C Bridge Abhilash S.Warrier Akshay S.Belvadi Dhiraj R.Gawhane Babu Ravi Teja K Abstract Today s electronic system is not a standalone unit instead working in a group, where

More information

Simplified UVM for FPGA Reliability UVM for Sufficient Elemental Analysis in DO-254 Flows by Shashi Bhutada, Mentor Graphics

Simplified UVM for FPGA Reliability UVM for Sufficient Elemental Analysis in DO-254 Flows by Shashi Bhutada, Mentor Graphics Simplified UVM for FPGA Reliability UVM for Sufficient Elemental Analysis in DO-254 Flows by Shashi Bhutada, Mentor Graphics INTRODUCTION DO-254 and other safety critical applications require meticulous

More information

The I2C controller supports only Master function. It supports the 7-bits/10-bits addressing mode and support general call address. The maximum clock f

The I2C controller supports only Master function. It supports the 7-bits/10-bits addressing mode and support general call address. The maximum clock f Chapter 52 I2C Interface 52.1 Overview The Inter-Integrated Circuit (I2C) is a two wired (SCL and SDA), bi-directional serial bus that provides an efficient and simple method of information exchange between

More information

Break Your SoC with Automatically Generated C Test Cases

Break Your SoC with Automatically Generated C Test Cases Break Your SoC with Automatically Generated C Test Cases Frederic Krampac Senior Applications Engineer Breker Verification Systems, Inc. The Myths of SoC Verification The IPs are well verified the SoC

More information

Universal Verification Methodology(UVM)

Universal Verification Methodology(UVM) Universal Verification Methodology(UVM) A Powerful Methodology for Functional Verification of Digital Hardware Abstract - With the increasing adoption of UVM, there is a growing demand for guidelines and

More information

GENERATION OF GRAPH FOR ETHERNET VERIFICATION USING TREK M.Vinodhini* 1, P.D. Rathika 2, J.U.Nambi 2, V.Kanmani 1

GENERATION OF GRAPH FOR ETHERNET VERIFICATION USING TREK M.Vinodhini* 1, P.D. Rathika 2, J.U.Nambi 2, V.Kanmani 1 ISSN 2277-2685 IJESR/May 2015/ Vol-5/Issue-5/187-193 M. Vinodhini et. al./ International Journal of Engineering & Science Research GENERATION OF GRAPH FOR ETHERNET VERIFICATION USING TREK M.Vinodhini*

More information

ADVANCED DIGITAL IC DESIGN. Digital Verification Basic Concepts

ADVANCED DIGITAL IC DESIGN. Digital Verification Basic Concepts 1 ADVANCED DIGITAL IC DESIGN (SESSION 6) Digital Verification Basic Concepts Need for Verification 2 Exponential increase in the complexity of ASIC implies need for sophisticated verification methods to

More information

Designing the Future with Efficiency

Designing the Future with Efficiency Designing the Future with Efficiency Guidance to Adopting SystemVerilog for Design! Axel Scherer, Cadence Design Systems, Chelmsford, MA, USA (axels@cadence.com) Junette Tan, PMC Sierra, Burnaby, BC, Canada

More information

Abstract BFMs Outshine Virtual Interfaces for Advanced SystemVerilog Testbenches

Abstract BFMs Outshine Virtual Interfaces for Advanced SystemVerilog Testbenches Abstract BFMs Outshine Virtual Interfaces for Advanced SystemVerilog Testbenches David Rich Mentor Graphics, Inc San Jose, CA dave_rich@mentor.com Jonathan Bromley Doulos Ltd Ringwood, England jonathan.bromley@doulos.com

More information

Development of UVM based Reusabe Verification Environment for SHA-3 Cryptographic Core

Development of UVM based Reusabe Verification Environment for SHA-3 Cryptographic Core Development of UVM based Reusabe Verification Environment for SHA-3 Cryptographic Core M. N. Kubavat Dept. of VLSI & Embedded Systems Design, GTU PG School Gujarat Technological University Ahmedabad, India

More information

IMAGE COMPRESSION ON FPGA AND TRANSFER USING ZIGBEE/I2C PROTOCOL

IMAGE COMPRESSION ON FPGA AND TRANSFER USING ZIGBEE/I2C PROTOCOL IMAGE COMPRESSION ON FPGA AND TRANSFER USING ZIGBEE/I2C PROTOCOL D.Bindu Tushara 1, P.A.Harsha Vardhini 2, J.V. Rao 3 1 Department of ECE, V.I.T.S., Deshmukhi, Hyderabad, India 2 Department of ECE, V.I.T.S.,

More information

Introduction the Serial Communications Parallel Communications Parallel Communications with Handshaking Serial Communications

Introduction the Serial Communications Parallel Communications Parallel Communications with Handshaking Serial Communications Introduction the Serial Communications Parallel Communications Parallel Communications with Handshaking Serial Communications o Asynchronous Serial (SCI, RS-232) o Synchronous Serial (SPI, IIC) The MC9S12

More information

Will Everything Start To Look Like An SoC?

Will Everything Start To Look Like An SoC? Will Everything Start To Look Like An SoC? Janick Bergeron, Synopsys Verification Futures Conference 2012 France, Germany, UK November 2012 Synopsys 2012 1 SystemVerilog Inherits the Earth e erm SV urm

More information

Reactive Test Bench Tutorial 1

Reactive Test Bench Tutorial 1 Reactive Test Bench Tutorial 1 Copyright 1994-2004 SynaptiCAD, Inc. Table of Contents 1. Overview...2 2. The Model Under Test (MUT)...2 3. Create signals...3 3.1. Extract ports from MUT...3 3.2. Create

More information

Design and Verification of Network Router

Design and Verification of Network Router Design and Verification of Network Router 1 G.V.Ravikrishna, 2 M. KiranKumar 1 M.Tech. Scholar, 2 Assistant Professor Department of ECE, ANURAG Group of Institutions, Andhra Pradesh, India 1 gvravikrishna@gmail.com,

More information

UART TO SPI SPECIFICATION

UART TO SPI SPECIFICATION UART TO SPI SPECIFICATION Author: Dinesh Annayya dinesha@opencores.org Table of Contents Preface... 3 Scope... 3 Revision History... 3 Abbreviations... 3 Introduction... 3 Architecture... 4 Baud-rate generator

More information

Modular SystemVerilog

Modular SystemVerilog SystemVerilog (IEEE 1800 TM ) is a significant new language based on the widely used and industrystandard Verilog hardware description language. The SystemVerilog extensions enhance Verilog in a number

More information

Vertical Reuse of functional verification from subsystem to SoC level (with seamless SoC emulation)

Vertical Reuse of functional verification from subsystem to SoC level (with seamless SoC emulation) Vertical Reuse of functional verification from subsystem to SoC level (with seamless SoC emulation) Pranav Kumar, Staff Engineer Digvijaya Pratap SINGH, Sr. Staff Engineer STMicroelectronics, Greater NOIDA,

More information

1 Contents 2 2 Overview 3 3 Hardware Interface 4 4 Software Interface Register Map Interrupts 6 5 Revision History 8

1 Contents 2 2 Overview 3 3 Hardware Interface 4 4 Software Interface Register Map Interrupts 6 5 Revision History 8 1 Contents 1 Contents 2 2 Overview 3 3 Hardware Interface 4 4 Software Interface 5 4.1 Register Map 5 4.2 Interrupts 6 5 Revision History 8 Version 2.3.2 - Confidential 2 of 8 2011 EnSilica Ltd, All Rights

More information

1 Contents. Version of EnSilica Ltd, All Rights Reserved

1 Contents. Version of EnSilica Ltd, All Rights Reserved 56/SHA224 1 Contents 1 Contents 2 2 Overview 3 3 Hardware Interface 4 3.1 Area 4 4 Software Interface 5 4.1 Register Map 5 5 SHA256/SHA224 Operation 8 5.1 Introduction 8 5.2 Cycle counts 8 6 Revision History

More information

ISSN Vol.03,Issue.29 October-2014, Pages:

ISSN Vol.03,Issue.29 October-2014, Pages: ISSN 2319-8885 Vol.03,Issue.29 October-2014, Pages:5891-5895 www.ijsetr.com Development of Verification Environment for SPI using OVM K.NAGARJUNA 1, K. PADMAJA DEVI 2 1 PG Scholar, Dept of ECE, TKR College

More information

Ref: AMBA Specification Rev. 2.0

Ref: AMBA Specification Rev. 2.0 AMBA Ref: AMBA Specification Rev. 2.0 1 Outline Overview AHB APB Test methodology SoC Design Lab Shao-Yi Chien 2 Outline Overview AHB APB Test methodology SoC Design Lab Shao-Yi Chien 3 BUS Brief In a

More information

Will Everything Start To Look Like An SoC?

Will Everything Start To Look Like An SoC? Will Everything Start To Look Like An SoC? Vikas Gautam, Synopsys Verification Futures Conference 2013 Bangalore, India March 2013 Synopsys 2012 1 SystemVerilog Inherits the Earth e erm SV urm AVM 1.0/2.0/3.0

More information

EECS 4340: Computer Hardware Design Unit 4: Validation

EECS 4340: Computer Hardware Design Unit 4: Validation EECS 4340: Unit 4: Validation Prof. Simha Sethumadhavan Reference Book: System Verilog for Verification Agenda Last Unit Design abstractions Basic primitives This Unit Validation Forthcoming Design Tips

More information

Integrating MATLAB with Verification HDLs for Functional Verification of Image and Video Processing ASIC

Integrating MATLAB with Verification HDLs for Functional Verification of Image and Video Processing ASIC International Journal of Computer Science & Emerging Technologies (E-ISSN: 2044-6004) 258 Integrating MATLAB with Verification HDLs for Functional Verification of Image and Video Processing ASIC Dhaval

More information

Project 1a: Hello World!

Project 1a: Hello World! Project 1a: Hello World! 1. Download cse465.zip from the web page. Unzip this using 7-Zip (not the Windows Utility it doesn t unzip files starting with a period) to your h:\ drive or wherever your CEC

More information

IOT is IOMSLPT for Verification Engineers

IOT is IOMSLPT for Verification Engineers IOT is IOMSLPT for Verification Engineers Adam Sherer, Product Management Group Director TVS DVClub Bristol, Cambridge, Grenoble, and worldwide 12 September 2017 IOT = Internet of Mixed-Signal Low Power

More information

Practical experience in automatic functional coverage convergence and reusable collection infrastructure in UVM verification

Practical experience in automatic functional coverage convergence and reusable collection infrastructure in UVM verification Practical experience in automatic functional coverage convergence and reusable collection infrastructure in UVM verification Roman Wang, +8613482890029, Advanced Micro Devices, Inc., Shanghai, China (roman.wang@amd.com)

More information

1 Contents. Version of EnSilica Ltd, All Rights Reserved

1 Contents. Version of EnSilica Ltd, All Rights Reserved 1 Contents 1 Contents 2 2 Overview 3 3 Hardware Interface 4 3.1 Resource requirements 4 4 Software Interface 5 4.1 Register Map 5 4.2 Interrupts 7 5 RSA Operation 8 5.1 Introduction 8 5.2 Cycle counts

More information

EECS 373 Practice Midterm & Homework #2 Fall 2011

EECS 373 Practice Midterm & Homework #2 Fall 2011 Exam #: EECS 373 Practice Midterm & Homework #2 Fall 2011 Name: Uniquename: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: Problem #

More information

Design and Verification of AMBA AHB- Lite protocol using Verilog HDL

Design and Verification of AMBA AHB- Lite protocol using Verilog HDL Design and Verification of AMBA AHB- Lite protocol using Verilog HDL Sravya Kante #1, Hari KishoreKakarla *2, Avinash Yadlapati #3 1, 2 Department of ECE, KL University Green Fields, Vaddeswaram-522502,

More information

Verification of Digital Systems, Spring UVM Basics

Verification of Digital Systems, Spring UVM Basics 1 UVM Basics Nagesh Loke ARM Cortex-A Class CPU Verification Lead 1 What to expect This lecture aims to: demonstrate the need for a verification methodology provide an understanding of some of the key

More information