Research Statement. 1. On-chip Wireless Communication Network for Multi-Core Chips

Size: px
Start display at page:

Download "Research Statement. 1. On-chip Wireless Communication Network for Multi-Core Chips"

Transcription

1 Research Statement Current Research Interests: My current research principally revolves around the broad topic of Network-on-Chip (NoC), which has emerged as the communication backbone for multi-core chips. In summary, my on-going research projects can be classified under three broad categories: (1) On-chip Wireless Communication Network for Multi-Core Chips (2) NoC-based hardware accelerators for bioinformatics and (3) Sustainable Computing. Below I present details of each of these projects and my future research plan. 1. On-chip Wireless Communication Network for Multi-Core Chips Introduction: Networks-on-Chip (NoCs) have emerged as communication backbones to enable a high degree of integration in multi-core Systems-on-Chip (SoCs). Despite their advantages, an important performance limitation in traditional NoCs arises from planar metal interconnect-based multi-hop communications, wherein the data transfer between two distant cores causes high latency and power consumption. There have been efforts to improve NoC performance by introducing ultra-low-latency and low power express channels between highly separated nodes, where the performance gain is achieved by bypassing intermediate NoC switches/routers. These communication channels can be made even more efficient in terms of power and delay compared to their conventional counterparts, but they are still, basically, metal wires. According to the International Technology Roadmap for Semiconductors (ITRS) for the long term, improving characteristics of metal wires will no longer satisfy performance requirements and new interconnect paradigms are needed. Different revolutionary approaches such as optical interconnects, on-chip multi-band RF transmission lines and wireless interconnects with CMOS ultra wide band (UWB) technology have been explored. Photonic and RF NoCs insert single-hop communication links between distant cores and thereby significantly reduce latency and power dissipation. However, photonic links must overcome significant technological and manufacturing challenges to become viable for mass production. Though NoCs with RF interconnects can be built using existing CMOS technology, they require long on-chip transmission lines that serve as waveguides. UWB wireless NoC must employ multi-hop communication due to the short range of its on-chip wireless channels. In this project, we have proposed an innovative and novel approach, which simultaneously addresses the latency, power consumption and interconnect routing problems of NoCs: replacing multi-hop wireline paths with high-bandwidth single-hop long-range wireless links. Recent research has established characteristics of silicon integrated antenna operating in the millimeter (mm) wave range of a few tens to hundreds of GHz and it is now a viable technology for intra- and inter-chip communication. Moreover excellent emission and absorption characteristics leading to antenna- like behavior in carbon nanotubes (CNTs) operating at optical frequencies have been observed recently. These open up new opportunities for detailed investigations into the design of wireless NoCs (WiNoCs) with on-chip antennas. Such a NoC presents unique opportunities and challenges, which should be investigated to make it mainstream. The transformational aspect of this research lies in addressing the on-chip interconnect problem from a fundamentally new perspective, namely by developing a wireless network at the nanoscale, as opposed to pursuing incremental improvements of relatively traditional methods of wired interconnection. Work done: In this project we are investigating design methodologies and technology requirements for scalable WiNoC architectures. We have proposed a hybrid small-world NoC architecture where closely spaced cores communicate through traditional metal wires, but long distance communication is predominantly achieved through the wireless links. We have already demonstrated that this hybrid WiNoC outperforms its wired counterparts in terms of network 1

2 throughput and latency, and that energy dissipation improves by orders of magnitude. The performance of the proposed WiNoC has been shown to be better than other emerging alternative NoCs. Findings of this work have been published in many papers already [4-6, 8, 11, 29-38]. I have given invited talks on this topic in various conferences. I have organized special sessions in conferences and also edited special issue in journals on this topic. Accomplishment: I am leading an interdisciplinary team consisting of experts on analog circuits, digital communications, antenna design and CNTs to work on the various aspects of WiNoCs. This team consists of researchers from WSU and also researchers from universities outside of WSU. Through this project, I have gained significant experience in leading large multidisciplinary research groups. The National Science Foundation (NSF) and the Army Research office (ARO) through multiple research grants support my group s work to carry out the investigations regarding WiNoCs. We are also in the process of establishing a state-of-the-art test and measurement facility at WSU through a NSF CRI grant. I have also won the NSF CAREER award in 2009 and the Anjan Bose outstanding researcher award from the College of Engineering and Architecture (CEA), WSU in 2013 for my work on WiNoCs. I have presented the outcomes of my group s work on WiNoC in special or hot-topic sessions in several reputed conferences. I participated in hot topic sessions at the IEEE Design, Automation and Test in Europe (DATE) conference in 2011 and I organized special sessions addressing novel interconnect architectures for multicore chips in IEEE International Symposium on Circuits and Systems (ISCAS) 2008 and in IEEE International Symposium on Network-on-Chips (NOCS) I was one of the speakers in a special session on this topic in CODES+ISSS 2010 and NOCS I also presented a tutorial on WiNoC in NOCS I also participated in a panel on wireless NoC at The 15th ACM/IEEE System Level Interconnect Prediction (SLIP) 2013 workshop. By organizing or participating in these special sessions and panels I have been able to create awareness regarding WiNoCs among a broad range of researchers both from academia and industry. Future direction: My group is currently extending the WiNoC research in a new direction by addressing reliability and security aspects of this emerging architecture. The wireless interconnect technology is capable of improving latency and power dissipation of NoC architectures. However, this emerging interconnect paradigm is in its formative stage and it imposes significant challenges pertaining to dependability. We are investigating various cross-layer design methodologies to address the reliability and security aspects of WiNoC-based multicore chips. We plan to (i) design the WiNoC network layer based on natural complex networks that will be fault tolerant even using an inherently unreliable emerging interconnect technology and (ii) develop countermeasure units in the data-link layer of the on-chip network stack that enable resilience against malicious attacks, which might result in under utilization or misuse of the on-chip wireless bandwidth. Initial findings of this work have been published in a few recent papers [5, 41, 43]. Another new project we are exploring is to study the capabilities of WiNoC-based multicore chips as the nodes for wireless multimedia sensor networks (WMSN). We propose the design and development of dependable and energy-efficient WMSNs with reliable WiNoC-enabled multicore processor-based nodes. Reliability and dependability of WiNoC-based multicore processors is going to be one of the major thrusts of my group for the next few years. 2. NoC-based hardware accelerators for bioinformatics Introduction: For this subset of research projects my aim is to design NoC-based chips for Bioinformatics. I am exploring the capability of NoCs to achieve the massive scale of computation power required by biocomputing applications. In this project, we propose to design, implement and evaluate hybrid wireless/wireline NoC architectures suitable for two major Please refer to my CV for the list of references 2

3 application classes in bioinformatics: (i) genomic/dna sequence analysis, and (ii) phylogenetics. Both of these classes are at the heart of the next generation biocomputing research. Work done: The most pervasive compute operation carried out in almost all bioinformatics applications is pairwise sequence homology detection (or sequence alignment). Due to exponentially growing sequence databases, computing this operation at a large-scale is becoming expensive. An effective approach to speed up this operation is to integrate a very high number of processing elements in a single chip so that the massive scales of fine-grain parallelism inherent in several bioinformatics applications can be exploited efficiently. NoC is a very efficient method to achieve such large-scale integration. In this work, we propose to bridge the gap between data generation and processing in bioinformatics applications by designing NoC architectures for the sequence alignment operation. Specifically, we (i) propose optimized NoC architectures for different sequence alignment algorithms that were originally designed for distributed memory parallel computers, and (ii) provide a thorough comparative evaluation of their respective performance and energy dissipation. While accelerators using other hardware architectures such as FPGA, General Purpose Graphics Processing Unit (GPU) and the Cell Broadband Engine (CBE) have been previously designed for sequence alignment, the NoC paradigm enables integration of a much larger number of processing elements on a single chip and also offers a higher degree of flexibility in placing them along the die to suit the underlying algorithm. The results show that our NoC-based implementations can provide above fold speedup over other hardware accelerators and above fold speedup over traditional CPU architectures. This is significant because it will drastically reduce the time required to perform the millions of alignment operations that are typical in large-scale bioinformatics projects. To the best of our knowledge, this work embodies the first attempt to accelerate a bioinformatics application using NoC [12, 49]. Phylogenetics is the study of evolutionary relationships between organisms based on their underlying genetic content. The term genome is used to collectively refer to all DNA in the living cell of an organism. Phylogenetic tree construction is the process of constructing an evolutionary tree based on the similarities and differences observed among the genomic DNA of a set of species. It is a fundamental problem in computational molecular biology with important applications that include drug discovery. In the tree the leaves represent species (known) and internal nodes represent common ancestral species (unknown). The heart of Maximum Parsimony-based phylogenetic reconstruction is the breakpoint median problem. It relies on breakpoint distance, which is a measure of how different two genomes are by their gene ordering. Principally, this reduces the problem to one of solving numerous instances of Traveling Salesman Problem (TSP) on graphs with bounded integer edge weights. However, even this restricted version of the TSP problem has been shown to be NP-Hard. Due to the compute-intensive nature, this problem has the potential to immensely benefit from the incorporation of the latest advancements in circuit design and evolving hardware architectures. An effective approach to speed up this operation is to integrate a very large number of processing elements in a single chip in order to efficiently exploit the inherent fine-grained massive parallelism. We have already demonstrated the design and performance evaluation of a NoC-based implementation for solving TSP under the bounded edge-weight model, as used in the computation of breakpoint phylogeny. Our approach takes advantage of fine-grain parallelism from the multiple processing elements (PEs) and uses efficient NoC architecture for inter-pe communication. To accelerate the application on hardware, our PE design optimizes a particular lower bound calculation operation, which typically tends to be the serial bottleneck in computation of a TSP solution. Experimental results show that this new implementation is able to achieve speedups of up to three orders of magnitude over state-of-the-art multithreaded software implementations. In phylogenetic inference, statistical estimation approaches such as Maximum Likelihood (ML) and Bayesian Inference provide more accurate estimates than other non-statistical 3

4 approaches. However, the improved quality comes at a higher computational cost, as these approaches, even though heuristic driven, involve optimization over multi-dimensional real continuous space. The number of possible search trees in ML is at least exponential, thereby making run-times on even modest-sized datasets to clock up to several million CPU hours. Evaluation of these trees, involving node-level likelihood vector computation and branch-length optimization, can be partitioned into tasks (or kernels), providing the application with the potential to benefit from hardware acceleration. The range of hardware acceleration architectures tried so far offer limited degree of fine-grain parallelism. In this project, we are exploring the design and performance evaluation of 2-D and 3-D NoC architectures for RAxML, which is one of the most widely used ML software suites. Specifically, we have implemented the computation kernels of the top three functions consuming more than 85% of the total software run-time. Simulations show that through appropriate choice of NoC architecture, and novel core design, allocation and placement strategies, our NoC-based implementation can achieve individual function-level speedups of 390x to 847x, speed up the targeted kernels in excess of 6500x, and provide end-to-end run-time reductions up to 5x over state-of-the-art multithreaded software [7]. Accomplishment: We are the first group to demonstrate capabilities of NoC-based hardware accelerators for a large spectrum of bioinformatics applications. The NSF currently funds our bioinformatics related project. We have also organized special sessions at International conferences on this topic. I am currently editing a special issue titled Hardware Acceleration in Computational Biology for IEEE Design and Test of Computers. Future direction: Currently, we are extending our bioinformatics related investigations by evaluating the performance of small-world network enabled WiNoC architectures for both sequence alignment and phylogenetics. In this work, our aim is to use bioinformatics applications as test cases to benchmark the performance of WiNoCs. Another related project that I am pursuing is efficient single-chip processor architectures that work as enablers for data processing and information extraction as part of the cyber physical system (CPS) framework targeted for biomedical and biological applications. I am collaborating with experts from the domains of body sensor networks (BSN) and CPS control algorithms to work on this project. 3. Sustainable Computing Introduction: Continuing progress and integration levels in silicon technologies make possible complete end-user systems on a single chip. This massive level of integration makes modern multicore chips widely adoptable in multiple domains. In the design of high-performance massive multicore chips, power and heat are dominant constraints. The increasing power consumption is of growing concern due to several reasons, e.g., cost, performance, reliability, scalability, and environmental impact. Increased power consumption not only raises chip temperature and cooling cost, but also decreases chip reliability and performance. In this work, we propose analytical and experimental methodologies and toolsets for the design and evaluation of sustainable multicore systems addressing power efficiency and thermal management while provisioning for the necessary performance trade-offs. Work done: In this work our aim is to demonstrate how the power and thermal efficiencies of multi-core chips designed with on-chip wireless links can improve even further by incorporating suitable dynamic voltage and frequency scaling (DVFS) schemes in the wireline links and processing cores. The overall energy dissipation of the WiNoC can be improved if the characteristics of the wireline links and the processing cores are optimized according to the traffic patterns and workloads respectively. DVFS is a popular methodology to optimize the power usage/heat dissipation of electronic systems without significantly compromising overall system performance. We are developing DVFS schemes to be applied to both the processing cores and the wireline links of WiNoCs to establish the power/thermal profile-performance trade-off for the multicore systems. Our aim is to develop an experimental toolset to establish the power-thermalperformance (PTP) trade-offs. We also plan to complement this effort by incorporating task 4

5 migration in the processing cores to improve the temperature profile of the multicore chips. The small-world WiNoC is shown to be capable of improving the overall latency and energy dissipation characteristics compared to the conventional mesh-based counterpart. While there is a significant temperature reduction in the network due to the WiNoC architecture, a loadimbalanced network is still susceptible to local thermal hotspots. We address the problem of network-induced temperature hotspots in WiNoC by incorporating a thermal-aware rerouting strategy. While the WiNoC itself can reduce the maximum mesh network temperature significantly, the thermal-aware rerouting strategy can successfully reduce hotspot temperatures even further without compromising the achievable performance benefits. Accomplishment: Initial findings of this project have been published in a few recent papers [2, 30, 33-35]. I have also presented some of the outcomes of this research in a hot topic session titled Energy-Efficient Design and Test Techniques for Future Multi-Core Systems at the IEEE Design, Automation and Test in Europe (DATE) conference in March of I was also the guest editor for a special issue on sustainable and green computing systems for the ACM Journal on Emerging Technologies in Computing Systems. I am also editing a book to be published by Springer on this topic. Future direction: I am actively pursuing new funding opportunities from various federal agencies to support this project. I am collaborating with several researchers both from WSU and outside WSU working on parallel processing, computer architecture and control theory to assemble a multidisciplinary team to work on this project. I am also working on NSF a REU proposal on this topic. This work is going to be one of the main thrust areas of my group for the next few years in addition to the reliability of WiNoC architecture related investigations mentioned above. Dissemination of Research Contributions: I try to widely disseminate outcomes of different research projects I am undertaking. I have published more than 70 peer-reviewed papers so far. I always target highly reputed peer-reviewed journals, such as IEEE Transactions on VLSI (TVLSI), IEEE Transactions on Computers (TC), IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Journal of Electronic Testing: Theory and Applications (JETTA) etc for publishing outputs of my research projects. Additionally I always target very competitive conference proceedings for publishing my research contributions. In regards to publishing I try to publish initial findings in conference proceedings. Subsequently by incorporating comments received from the conferences I enhance the quality of the work and submit to journals. I make sure the graduate students working with me participate in publishing research papers. All of my graduate students have multiple publications. I have already graduated 5 PhD and many MS students. All of my PhD graduates are well established either in academia or in Industry. I have also given multiple invited talks at various conferences and IEEE chapters to present results of various research projects I am undertaking. 5

COMPARATIVE PERFORMANCE EVALUATION OF WIRELESS AND OPTICAL NOC ARCHITECTURES

COMPARATIVE PERFORMANCE EVALUATION OF WIRELESS AND OPTICAL NOC ARCHITECTURES COMPARATIVE PERFORMANCE EVALUATION OF WIRELESS AND OPTICAL NOC ARCHITECTURES Sujay Deb, Kevin Chang, Amlan Ganguly, Partha Pande School of Electrical Engineering and Computer Science, Washington State

More information

PERFORMANCE EVALUATION OF WIRELESS NETWORKS ON CHIP JYUN-LYANG CHANG

PERFORMANCE EVALUATION OF WIRELESS NETWORKS ON CHIP JYUN-LYANG CHANG PERFORMANCE EVALUATION OF WIRELESS NETWORKS ON CHIP By JYUN-LYANG CHANG A thesis submitted in partial fulfillment of the requirements for the degree of MASTER OF SCIENCE IN ELECTRICAL ENGINEERING WASHINGTON

More information

Network on Chip Architecture: An Overview

Network on Chip Architecture: An Overview Network on Chip Architecture: An Overview Md Shahriar Shamim & Naseef Mansoor 12/5/2014 1 Overview Introduction Multi core chip Challenges Network on Chip Architecture Regular Topology Irregular Topology

More information

Network-on-Chip Architecture

Network-on-Chip Architecture Multiple Processor Systems(CMPE-655) Network-on-Chip Architecture Performance aspect and Firefly network architecture By Siva Shankar Chandrasekaran and SreeGowri Shankar Agenda (Enhancing performance)

More information

DVFS-ENABLED SUSTAINABLE WIRELESS NoC ARCHITECTURE

DVFS-ENABLED SUSTAINABLE WIRELESS NoC ARCHITECTURE DVFS-ENABLED SUSTAINABLE WIRELESS NoC ARCHITECTURE Jacob Murray, Partha Pratim Pande, Behrooz Shirazi School of Electrical Engineering and Computer Science Washington State University {jmurray, pande,

More information

Emerging Platforms, Emerging Technologies, and the Need for Crosscutting Tools Luca Carloni

Emerging Platforms, Emerging Technologies, and the Need for Crosscutting Tools Luca Carloni Emerging Platforms, Emerging Technologies, and the Need for Crosscutting Tools Luca Carloni Department of Computer Science Columbia University in the City of New York NSF Workshop on Emerging Technologies

More information

Fault Tolerant and Secure Architectures for On Chip Networks With Emerging Interconnect Technologies. Mohsin Y Ahmed Conlan Wesson

Fault Tolerant and Secure Architectures for On Chip Networks With Emerging Interconnect Technologies. Mohsin Y Ahmed Conlan Wesson Fault Tolerant and Secure Architectures for On Chip Networks With Emerging Interconnect Technologies Mohsin Y Ahmed Conlan Wesson Overview NoC: Future generation of many core processor on a single chip

More information

High-Performance Algorithm Engineering for Computational Phylogenetics

High-Performance Algorithm Engineering for Computational Phylogenetics High-Performance Algorithm Engineering for Computational Phylogenetics Bernard M.E. Moret moret@cs.unm.edu Department of Computer Science University of New Mexico Albuquerque, NM 87131 High-Performance

More information

Department of Electrical and Computer Engineering, University of Rochester, Computer Studies Building,

Department of Electrical and Computer Engineering, University of Rochester, Computer Studies Building, ,, Computer Studies Building, BOX 270231, Rochester, New York 14627 585.360.6181 (phone) kose@ece.rochester.edu http://www.ece.rochester.edu/ kose Research Interests and Vision Research interests: Design

More information

UNCLASSIFIED. R-1 Program Element (Number/Name) PE D8Z / Software Engineering Institute (SEI) Applied Research. Prior Years FY 2013 FY 2014

UNCLASSIFIED. R-1 Program Element (Number/Name) PE D8Z / Software Engineering Institute (SEI) Applied Research. Prior Years FY 2013 FY 2014 Exhibit R-2, RDT&E Budget Item Justification: PB 2015 Office of Secretary Of Defense Date: March 2014 0400: Research, Development, Test & Evaluation, Defense-Wide / BA 2: COST ($ in Millions) Prior Years

More information

FDMA Enabled Phase-based Wireless Networkon-Chip using Graphene-based THz-band Antennas

FDMA Enabled Phase-based Wireless Networkon-Chip using Graphene-based THz-band Antennas Rochester Institute of Technology RIT Scholar Works Theses Thesis/Dissertation Collections 11-2017 FDMA Enabled Phase-based Wireless Networkon-Chip using Graphene-based THz-band Antennas Deekshith Shenoy

More information

MILLIMETER-WAVE WIRELESS NETWORK-ON-CHIP: A CMOS COMPATIBLE INTERCONNECTION INFRASTRUCTURE FOR FUTURE MANY-CORE PROCESSORS SUJAY DEB

MILLIMETER-WAVE WIRELESS NETWORK-ON-CHIP: A CMOS COMPATIBLE INTERCONNECTION INFRASTRUCTURE FOR FUTURE MANY-CORE PROCESSORS SUJAY DEB MILLIMETER-WAVE WIRELESS NETWORK-ON-CHIP: A CMOS COMPATIBLE INTERCONNECTION INFRASTRUCTURE FOR FUTURE MANY-CORE PROCESSORS By SUJAY DEB A dissertation submitted in partial fulfillment of the requirements

More information

ADAPTIVE AND DYNAMIC LOAD BALANCING METHODOLOGIES FOR DISTRIBUTED ENVIRONMENT

ADAPTIVE AND DYNAMIC LOAD BALANCING METHODOLOGIES FOR DISTRIBUTED ENVIRONMENT ADAPTIVE AND DYNAMIC LOAD BALANCING METHODOLOGIES FOR DISTRIBUTED ENVIRONMENT PhD Summary DOCTORATE OF PHILOSOPHY IN COMPUTER SCIENCE & ENGINEERING By Sandip Kumar Goyal (09-PhD-052) Under the Supervision

More information

CMOS Compatible Many-Core NoC Architectures with Multi-Channel Millimeter-Wave Wireless Links

CMOS Compatible Many-Core NoC Architectures with Multi-Channel Millimeter-Wave Wireless Links CMOS Compatible Many-Core NoC Architectures with Multi-Channel Millimeter-Wave Wireless Links Sujay Deb, Kevin Chang, Miralem Cosic, Partha Pande, Deukhyoun Heo, Benjamin Belzer School of Electrical Engineering

More information

Network on Chip Architectures BY JAGAN MURALIDHARAN NIRAJ VASUDEVAN

Network on Chip Architectures BY JAGAN MURALIDHARAN NIRAJ VASUDEVAN Network on Chip Architectures BY JAGAN MURALIDHARAN NIRAJ VASUDEVAN Multi Core Chips No more single processor systems High computational power requirements Increasing clock frequency increases power dissipation

More information

A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip

A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip 2010 25th International Symposium on Defect and Fault Tolerance in VLSI Systems A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip Min-Ju Chan and Chun-Lung Hsu Department of Electrical

More information

OVERHEADS ENHANCEMENT IN MUTIPLE PROCESSING SYSTEMS BY ANURAG REDDY GANKAT KARTHIK REDDY AKKATI

OVERHEADS ENHANCEMENT IN MUTIPLE PROCESSING SYSTEMS BY ANURAG REDDY GANKAT KARTHIK REDDY AKKATI CMPE 655- MULTIPLE PROCESSOR SYSTEMS OVERHEADS ENHANCEMENT IN MUTIPLE PROCESSING SYSTEMS BY ANURAG REDDY GANKAT KARTHIK REDDY AKKATI What is MULTI PROCESSING?? Multiprocessing is the coordinated processing

More information

Achieving Lightweight Multicast in Asynchronous Networks-on-Chip Using Local Speculation

Achieving Lightweight Multicast in Asynchronous Networks-on-Chip Using Local Speculation Achieving Lightweight Multicast in Asynchronous Networks-on-Chip Using Local Speculation Kshitij Bhardwaj Dept. of Computer Science Columbia University Steven M. Nowick 2016 ACM/IEEE Design Automation

More information

UNCLASSIFIED. FY 2016 Base FY 2016 OCO

UNCLASSIFIED. FY 2016 Base FY 2016 OCO Exhibit R-2, RDT&E Budget Item Justification: PB 2016 Office of the Secretary Of Defense Date: February 2015 0400: Research, Development, Test & Evaluation, Defense-Wide / BA 2: COST ($ in Millions) Prior

More information

More Course Information

More Course Information More Course Information Labs and lectures are both important Labs: cover more on hands-on design/tool/flow issues Lectures: important in terms of basic concepts and fundamentals Do well in labs Do well

More information

Graphene-enabled hybrid architectures for multiprocessors: bridging nanophotonics and nanoscale wireless communication

Graphene-enabled hybrid architectures for multiprocessors: bridging nanophotonics and nanoscale wireless communication Graphene-enabled hybrid architectures for multiprocessors: bridging nanophotonics and nanoscale wireless communication Sergi Abadal*, Albert Cabellos-Aparicio*, José A. Lázaro, Eduard Alarcón*, Josep Solé-Pareta*

More information

On the Efficacy of Haskell for High Performance Computational Biology

On the Efficacy of Haskell for High Performance Computational Biology On the Efficacy of Haskell for High Performance Computational Biology Jacqueline Addesa Academic Advisors: Jeremy Archuleta, Wu chun Feng 1. Problem and Motivation Biologists can leverage the power of

More information

Part IV: 3D WiNoC Architectures

Part IV: 3D WiNoC Architectures Wireless NoC as Interconnection Backbone for Multicore Chips: Promises, Challenges, and Recent Developments Part IV: 3D WiNoC Architectures Hiroki Matsutani Keio University, Japan 1 Outline: 3D WiNoC Architectures

More information

Evaluation of temperature-performance trade-offs in wireless network-on-chip architectures

Evaluation of temperature-performance trade-offs in wireless network-on-chip architectures Rochester Institute of Technology RIT Scholar Works Theses Thesis/Dissertation Collections 5-1-2013 Evaluation of temperature-performance trade-offs in wireless network-on-chip architectures Nishad Nerurkar

More information

Phylogenetics on CUDA (Parallel) Architectures Bradly Alicea

Phylogenetics on CUDA (Parallel) Architectures Bradly Alicea Descent w/modification Descent w/modification Descent w/modification Descent w/modification CPU Descent w/modification Descent w/modification Phylogenetics on CUDA (Parallel) Architectures Bradly Alicea

More information

A Software LDPC Decoder Implemented on a Many-Core Array of Programmable Processors

A Software LDPC Decoder Implemented on a Many-Core Array of Programmable Processors A Software LDPC Decoder Implemented on a Many-Core Array of Programmable Processors Brent Bohnenstiehl and Bevan Baas Department of Electrical and Computer Engineering University of California, Davis {bvbohnen,

More information

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape Edition April 2017 Semiconductor technology & processing 3D systems-on-chip A clever partitioning of circuits to improve area, cost, power and performance. In recent years, the technology of 3D integration

More information

Stacked Silicon Interconnect Technology (SSIT)

Stacked Silicon Interconnect Technology (SSIT) Stacked Silicon Interconnect Technology (SSIT) Suresh Ramalingam Xilinx Inc. MEPTEC, January 12, 2011 Agenda Background and Motivation Stacked Silicon Interconnect Technology Summary Background and Motivation

More information

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem.

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem. The VLSI Interconnect Challenge Avinoam Kolodny Electrical Engineering Department Technion Israel Institute of Technology VLSI Challenges System complexity Performance Tolerance to digital noise and faults

More information

Microprocessor Trends and Implications for the Future

Microprocessor Trends and Implications for the Future Microprocessor Trends and Implications for the Future John Mellor-Crummey Department of Computer Science Rice University johnmc@rice.edu COMP 522 Lecture 4 1 September 2016 Context Last two classes: from

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information

Aim High. Intel Technical Update Teratec 07 Symposium. June 20, Stephen R. Wheat, Ph.D. Director, HPC Digital Enterprise Group

Aim High. Intel Technical Update Teratec 07 Symposium. June 20, Stephen R. Wheat, Ph.D. Director, HPC Digital Enterprise Group Aim High Intel Technical Update Teratec 07 Symposium June 20, 2007 Stephen R. Wheat, Ph.D. Director, HPC Digital Enterprise Group Risk Factors Today s s presentations contain forward-looking statements.

More information

Designing parallel algorithms for constructing large phylogenetic trees on Blue Waters

Designing parallel algorithms for constructing large phylogenetic trees on Blue Waters Designing parallel algorithms for constructing large phylogenetic trees on Blue Waters Erin Molloy University of Illinois at Urbana Champaign General Allocation (PI: Tandy Warnow) Exploratory Allocation

More information

CONCENTRATIONS: HIGH-PERFORMANCE COMPUTING & BIOINFORMATICS CYBER-SECURITY & NETWORKING

CONCENTRATIONS: HIGH-PERFORMANCE COMPUTING & BIOINFORMATICS CYBER-SECURITY & NETWORKING MAJOR: DEGREE: COMPUTER SCIENCE MASTER OF SCIENCE (M.S.) CONCENTRATIONS: HIGH-PERFORMANCE COMPUTING & BIOINFORMATICS CYBER-SECURITY & NETWORKING The Department of Computer Science offers a Master of Science

More information

Architecture Conscious Data Mining. Srinivasan Parthasarathy Data Mining Research Lab Ohio State University

Architecture Conscious Data Mining. Srinivasan Parthasarathy Data Mining Research Lab Ohio State University Architecture Conscious Data Mining Srinivasan Parthasarathy Data Mining Research Lab Ohio State University KDD & Next Generation Challenges KDD is an iterative and interactive process the goal of which

More information

Ioannis Tomkos. NOC group - AIT.

Ioannis Tomkos. NOC group - AIT. GREENING the NETWORKS of NEXT GENERATION Ioannis Tomkos 1 Outline ICT energy consumption Telecom Network s share Energy Aware and Energy Efficient i NGN A novel technique for energy efficiency Energy-oriented

More information

Scaling species tree estimation methods to large datasets using NJMerge

Scaling species tree estimation methods to large datasets using NJMerge Scaling species tree estimation methods to large datasets using NJMerge Erin Molloy and Tandy Warnow {emolloy2, warnow}@illinois.edu University of Illinois at Urbana Champaign 2018 Phylogenomics Software

More information

OVERVIEW: NETWORK ON CHIP 3D ARCHITECTURE

OVERVIEW: NETWORK ON CHIP 3D ARCHITECTURE OVERVIEW: NETWORK ON CHIP 3D ARCHITECTURE 1 SOMASHEKHAR, 2 REKHA S 1 M. Tech Student (VLSI Design & Embedded System), Department of Electronics & Communication Engineering, AIET, Gulbarga, Karnataka, INDIA

More information

Wireless NoC as Interconnection Backbone for Multicore Chips: Promises and Challenges

Wireless NoC as Interconnection Backbone for Multicore Chips: Promises and Challenges 228 IEEE JOURNAL ON EMERGING AND SELECTED TOPICS IN CIRCUITS AND SYSTEMS, VOL. 2, NO. 2, JUNE 2012 Wireless NoC as Interconnection Backbone for Multicore Chips: Promises and Challenges Sujay Deb, Student

More information

Overlaid Mesh Topology Design and Deadlock Free Routing in Wireless Network-on-Chip. Danella Zhao and Ruizhe Wu Presented by Zhonghai Lu, KTH

Overlaid Mesh Topology Design and Deadlock Free Routing in Wireless Network-on-Chip. Danella Zhao and Ruizhe Wu Presented by Zhonghai Lu, KTH Overlaid Mesh Topology Design and Deadlock Free Routing in Wireless Network-on-Chip Danella Zhao and Ruizhe Wu Presented by Zhonghai Lu, KTH Outline Introduction Overview of WiNoC system architecture Overlaid

More information

Kartik Lakhotia, Rajgopal Kannan, Viktor Prasanna USENIX ATC 18

Kartik Lakhotia, Rajgopal Kannan, Viktor Prasanna USENIX ATC 18 Accelerating PageRank using Partition-Centric Processing Kartik Lakhotia, Rajgopal Kannan, Viktor Prasanna USENIX ATC 18 Outline Introduction Partition-centric Processing Methodology Analytical Evaluation

More information

High performance networked computing in media, services and information management

High performance networked computing in media, services and information management J Supercomput (2013) 64:830 834 DOI 10.1007/s11227-013-0942-7 High performance networked computing in media, services and information management Gang Kou Published online: 24 April 2013 Springer Science+Business

More information

Keynote Speakers CyberC 2010

Keynote Speakers CyberC 2010 Keynote Speakers CyberC 2010 Keynote Speaker 1 Wireless Sensor Network Security: Overview and Future Perspective Prof. Anup Kumar University of Louisville, USA Wireless sensor networks have the potential

More information

On an Overlaid Hybrid Wire/Wireless Interconnection Architecture for Network-on-Chip

On an Overlaid Hybrid Wire/Wireless Interconnection Architecture for Network-on-Chip On an Overlaid Hybrid Wire/Wireless Interconnection Architecture for Network-on-Chip Ling Wang, Zhihai Guo, Peng Lv Dept. of Computer Science and Technology Harbin Institute of Technology Harbin, China

More information

Higher Level Programming Abstractions for FPGAs using OpenCL

Higher Level Programming Abstractions for FPGAs using OpenCL Higher Level Programming Abstractions for FPGAs using OpenCL Desh Singh Supervising Principal Engineer Altera Corporation Toronto Technology Center ! Technology scaling favors programmability CPUs."#/0$*12'$-*

More information

Introduction of the Research Based on FPGA at NICS

Introduction of the Research Based on FPGA at NICS Introduction of the Research Based on FPGA at NICS Rong Luo Nano Integrated Circuits and Systems Lab, Department of Electronic Engineering, Tsinghua University Beijing, 100084, China 1 luorong@tsinghua.edu.cn

More information

Architectures for Networks on Chips with Emerging Interconnect Technologies

Architectures for Networks on Chips with Emerging Interconnect Technologies CMPE 750 Project Presentation Architectures for Networks on Chips with Emerging Interconnect Technologies Sagar Saxena 1 Content System on chip : Idea & overview Need for Multi-Core chip NOCs Paradigm

More information

UNCLASSIFIED R-1 ITEM NOMENCLATURE FY 2013 OCO

UNCLASSIFIED R-1 ITEM NOMENCLATURE FY 2013 OCO Exhibit R-2, RDT&E Budget Item Justification: PB 2013 Office of Secretary Of Defense DATE: February 2012 COST ($ in Millions) FY 2011 FY 2012 Base OCO Total FY 2014 FY 2015 FY 2016 FY 2017 Cost To Complete

More information

The NeTS Program. NSF Programmable Wireless Networking Informational Meeting 5 February 2004 Arlington, Virginia

The NeTS Program. NSF Programmable Wireless Networking Informational Meeting 5 February 2004 Arlington, Virginia The NeTS Program Dr. Joseph B. Evans Program Director Computer and Network Systems Computer & Information Science & Engineering National Science Foundation NSF Programmable Wireless Networking Informational

More information

UNCLASSIFIED. UNCLASSIFIED Office of Secretary Of Defense Page 1 of 8 R-1 Line #18

UNCLASSIFIED. UNCLASSIFIED Office of Secretary Of Defense Page 1 of 8 R-1 Line #18 Exhibit R-2, RDT&E Budget Item Justification: PB 2015 Office of Secretary Of Defense Date: March 2014 0400: Research, Development, Test & Evaluation, Defense-Wide / BA 2: Applied Research COST ($ in Millions)

More information

Silicon Based Packaging for 400/800/1600 Gb/s Optical Interconnects

Silicon Based Packaging for 400/800/1600 Gb/s Optical Interconnects Silicon Based Packaging for 400/800/1600 Gb/s Optical Interconnects The Low Cost Solution for Parallel Optical Interconnects Into the Terabit per Second Age Executive Summary White Paper PhotonX Networks

More information

W H I T E P A P E R U n l o c k i n g t h e P o w e r o f F l a s h w i t h t h e M C x - E n a b l e d N e x t - G e n e r a t i o n V N X

W H I T E P A P E R U n l o c k i n g t h e P o w e r o f F l a s h w i t h t h e M C x - E n a b l e d N e x t - G e n e r a t i o n V N X Global Headquarters: 5 Speen Street Framingham, MA 01701 USA P.508.872.8200 F.508.935.4015 www.idc.com W H I T E P A P E R U n l o c k i n g t h e P o w e r o f F l a s h w i t h t h e M C x - E n a b

More information

FET Proactive initiative: Advanced Computing Architectures

FET Proactive initiative: Advanced Computing Architectures FET Proactive initiative: Advanced Computing Architectures Terms of Reference 1 INTRODUCTION Fuelled by Moore's law, progress in electronics continues at an unabated pace permitting the design of devices

More information

5G is viewed as new ecosystem from end-to-end, harnessing both evolutionary as well as revolutionary technologies to:

5G is viewed as new ecosystem from end-to-end, harnessing both evolutionary as well as revolutionary technologies to: Who Needs 5G? 2 Why 5G? 3 5G Has Many Facets 5G is viewed as new ecosystem from end-to-end, harnessing both evolutionary as well as revolutionary technologies to: Expand capabilities, performance, and

More information

Design and Evaluation of Technology-Agnostic Heterogeneous Networks-on-Chip

Design and Evaluation of Technology-Agnostic Heterogeneous Networks-on-Chip 0 Design and Evaluation of Technology-Agnostic Heterogeneous Networks-on-Chip Haera Chung, Portland State University Christof Teuscher, Portland State University Partha Pande, Washington State University

More information

Picasso Panel Thinking Beyond 5 G David Corman

Picasso Panel Thinking Beyond 5 G David Corman Picasso Panel Thinking Beyond 5 G David Corman Program Director Directorate for Computer and Information Science and Engineering National Science Foundation June 19, 2018 Some Motivation: Toward Smart

More information

Deep Learning Accelerators

Deep Learning Accelerators Deep Learning Accelerators Abhishek Srivastava (as29) Samarth Kulshreshtha (samarth5) University of Illinois, Urbana-Champaign Submitted as a requirement for CS 433 graduate student project Outline Introduction

More information

Future Grid Initiative Technology Challenges in Designing the Future Grid to Enable Sustainable Energy Systems

Future Grid Initiative Technology Challenges in Designing the Future Grid to Enable Sustainable Energy Systems Future Grid Initiative Technology Challenges in Designing the Future Grid to Enable Sustainable Energy Systems Vijay Vittal Director, Power Systems Engineering Research Center Ira A. Fulton Chair Professor,

More information

EECE 615: High-Frequency Design Techniques

EECE 615: High-Frequency Design Techniques Department of Electrical and Computer Engineering EECE 615: High-Frequency Design Techniques Prerequisites: EECE 417, PHYS 204C Required for all MSEE majors Catalog Description:Study of the problems associated

More information

Session: Configurable Systems. Tailored SoC building using reconfigurable IP blocks

Session: Configurable Systems. Tailored SoC building using reconfigurable IP blocks IP 08 Session: Configurable Systems Tailored SoC building using reconfigurable IP blocks Lodewijk T. Smit, Gerard K. Rauwerda, Jochem H. Rutgers, Maciej Portalski and Reinier Kuipers Recore Systems www.recoresystems.com

More information

Energy-Efficient Multicore Chip Design Through Cross-Layer Approach

Energy-Efficient Multicore Chip Design Through Cross-Layer Approach Energy-Efficient Multicore Chip Design Through Cross-Layer Approach Paul Wettin, Jacob Murray, Partha Pande, Behrooz Shirazi School of Electrical Engineering and Computer Science Washington State University

More information

CAD System Lab Graduate Institute of Electronics Engineering National Taiwan University Taipei, Taiwan, ROC

CAD System Lab Graduate Institute of Electronics Engineering National Taiwan University Taipei, Taiwan, ROC QoS Aware BiNoC Architecture Shih-Hsin Lo, Ying-Cherng Lan, Hsin-Hsien Hsien Yeh, Wen-Chung Tsai, Yu-Hen Hu, and Sao-Jie Chen Ying-Cherng Lan CAD System Lab Graduate Institute of Electronics Engineering

More information

Course Outcome of M.E (ECE)

Course Outcome of M.E (ECE) Course Outcome of M.E (ECE) PEC108/109: EMBEDDED SYSTEMS DESIGN 1. Recognize the Embedded system and its programming, Embedded Systems on a Chip (SoC) and the use of VLSI designed circuits. 2. Identify

More information

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics DFT Trends in the More than Moore Era Stephen Pateras Mentor Graphics steve_pateras@mentor.com Silicon Valley Test Conference 2011 1 Outline Semiconductor Technology Trends DFT in relation to: Increasing

More information

Planar Wireless NoC Architectures

Planar Wireless NoC Architectures Planar Wireless NoC Architectures Partha Pratim Pande and Deukhyoun Heo School of Electrical Engineering and Computer Science Additional Contributors: Amlan Ganguly, Sujay Deb, Paul WeCin, Jacob Murray,

More information

VISUALIZING THE PACKAGING ROADMAP

VISUALIZING THE PACKAGING ROADMAP IEEE SCV EPS Chapter Meeting 3/13/2019 VISUALIZING THE PACKAGING ROADMAP IVOR BARBER CORPORATE VICE PRESIDENT, PACKAGING AMD IEEE EPS Lunchtime Presentation March 2019 1 2 2 www.cpmt.org/scv 3/27/2019

More information

CHAPTER 7 CONCLUSION AND FUTURE SCOPE

CHAPTER 7 CONCLUSION AND FUTURE SCOPE 121 CHAPTER 7 CONCLUSION AND FUTURE SCOPE This research has addressed the issues of grid scheduling, load balancing and fault tolerance for large scale computational grids. To investigate the solution

More information

NetSpeed ORION: A New Approach to Design On-chip Interconnects. August 26 th, 2013

NetSpeed ORION: A New Approach to Design On-chip Interconnects. August 26 th, 2013 NetSpeed ORION: A New Approach to Design On-chip Interconnects August 26 th, 2013 INTERCONNECTS BECOMING INCREASINGLY IMPORTANT Growing number of IP cores Average SoCs today have 100+ IPs Mixing and matching

More information

Meet in the Middle: Leveraging Optical Interconnection Opportunities in Chip Multi Processors

Meet in the Middle: Leveraging Optical Interconnection Opportunities in Chip Multi Processors Meet in the Middle: Leveraging Optical Interconnection Opportunities in Chip Multi Processors Sandro Bartolini* Department of Information Engineering, University of Siena, Italy bartolini@dii.unisi.it

More information

on Chip Architectures for Multi Core Systems

on Chip Architectures for Multi Core Systems Wireless Network on on Chip Architectures for Multi Core Systems Pratheep Joe Siluvai, Qutaiba Saleh pi4810@rit.edu, qms7252@rit.edu Outlines Multi-core System Wired Network-on-chip o Problem of wired

More information

Enhancing Performance of Network-on-Chip Architectures with Millimeter-Wave Wireless Interconnects

Enhancing Performance of Network-on-Chip Architectures with Millimeter-Wave Wireless Interconnects Enhancing Performance of etwork-on-chip Architectures with Millimeter-Wave Wireless Interconnects Sujay Deb, Amlan Ganguly, Kevin Chang, Partha Pande, Benjamin Belzer, Deuk Heo School of Electrical Engineering

More information

Mohamed Mahmoud Mahmoud Azab. Education: Ongoing research:

Mohamed Mahmoud Mahmoud Azab. Education: Ongoing research: Mohamed Mahmoud Mahmoud Azab -Assistant Prof., Informatics Research Institute, The City of Scientific Research & Technology Applications, Alexandria-Egypt. - Researcher, VT-MENA research center of Excellence,

More information

SCHOOL OF INFORMATION TECHNOLOGY, Christopher W. Smelser Carleton University and Algonquin College

SCHOOL OF INFORMATION TECHNOLOGY, Christopher W. Smelser Carleton University and Algonquin College SCHOOL OF INFORMATION TECHNOLOGY, 2016 Christopher W. Smelser Carleton University and Algonquin College A World of Light Photonics? Electronics e- e- e- e- Photonics Metal or Semiconductor Semiconductor

More information

Progress Report No. 15. Shared Segments Protection

Progress Report No. 15. Shared Segments Protection NEXT GENERATION NETWORK (NGN) AVAILABILITY & RESILIENCE RESEARCH Progress Report No. 15 Shared Segments Protection The University of Canterbury Team 18 April 2006 Abstract As a complement to the Canterbury

More information

Tree-structured small-world connected wireless network-on-chip with adaptive routing

Tree-structured small-world connected wireless network-on-chip with adaptive routing Rochester Institute of Technology RIT Scholar Works Theses Thesis/Dissertation Collections -- Tree-structured small-world connected wireless network-on-chip with adaptive routing Andrew Benjamin Follow

More information

1 Publishable Summary

1 Publishable Summary 1 Publishable Summary 1.1 VELOX Motivation and Goals The current trend in designing processors with multiple cores, where cores operate in parallel and each of them supports multiple threads, makes the

More information

Sustainability through Massively Integrated Computing: Are We Ready to Break the Energy Efficiency Wall for Single-Chip Platforms?

Sustainability through Massively Integrated Computing: Are We Ready to Break the Energy Efficiency Wall for Single-Chip Platforms? Sustainability through Massively Integrated Computing: Are We Ready to Break the Energy Efficiency Wall for Single-Chip Platforms? Partha Pande School of EECS Washington State Univ. Pullman, WA, USA Fabien

More information

Information and Communication Technologies (ICT) thematic area

Information and Communication Technologies (ICT) thematic area Information and Communication Technologies (ICT) thematic area Network & Service Infrastructures 1.1 The Network of the Future (Call 4, Call 5) 2009-2010 a) Future Internet Architectures and Network Technologies

More information

Announcements. me your survey: See the Announcements page. Today. Reading. Take a break around 10:15am. Ack: Some figures are from Coulouris

Announcements.  me your survey: See the Announcements page. Today. Reading. Take a break around 10:15am. Ack: Some figures are from Coulouris Announcements Email me your survey: See the Announcements page Today Conceptual overview of distributed systems System models Reading Today: Chapter 2 of Coulouris Next topic: client-side processing (HTML,

More information

An Industrial Employee Development Application Protocol Using Wireless Sensor Networks

An Industrial Employee Development Application Protocol Using Wireless Sensor Networks RESEARCH ARTICLE An Industrial Employee Development Application Protocol Using Wireless Sensor Networks 1 N.Roja Ramani, 2 A.Stenila 1,2 Asst.professor, Dept.of.Computer Application, Annai Vailankanni

More information

MediaTek CorePilot 2.0. Delivering extreme compute performance with maximum power efficiency

MediaTek CorePilot 2.0. Delivering extreme compute performance with maximum power efficiency MediaTek CorePilot 2.0 Heterogeneous Computing Technology Delivering extreme compute performance with maximum power efficiency In July 2013, MediaTek delivered the industry s first mobile system on a chip

More information

Intel Research mote. Ralph Kling Intel Corporation Research Santa Clara, CA

Intel Research mote. Ralph Kling Intel Corporation Research Santa Clara, CA Intel Research mote Ralph Kling Intel Corporation Research Santa Clara, CA Overview Intel mote project goals Project status and direction Intel mote hardware Intel mote software Summary and outlook Intel

More information

On-Chip Network-Enabled Many-Core Architectures for Computational Biology Applications

On-Chip Network-Enabled Many-Core Architectures for Computational Biology Applications On-Chip Network-Enabled Many-Core Architectures for Computational Biology Applications Turbo Majumder Department of Electrical Engineering Indian Institute of Technology Delhi New Delhi, India turbo@ee.iitd.ac.in

More information

Call for Papers for Communication QoS, Reliability and Modeling Symposium

Call for Papers for Communication QoS, Reliability and Modeling Symposium Call for Papers for Communication QoS, Reliability and Modeling Symposium Scope and Motivation: In modern communication networks, different technologies need to cooperate with each other for end-to-end

More information

A Dynamic NOC Arbitration Technique using Combination of VCT and XY Routing

A Dynamic NOC Arbitration Technique using Combination of VCT and XY Routing 727 A Dynamic NOC Arbitration Technique using Combination of VCT and XY Routing 1 Bharati B. Sayankar, 2 Pankaj Agrawal 1 Electronics Department, Rashtrasant Tukdoji Maharaj Nagpur University, G.H. Raisoni

More information

Organic Computing DISCLAIMER

Organic Computing DISCLAIMER Organic Computing DISCLAIMER The views, opinions, and/or findings contained in this article are those of the author(s) and should not be interpreted as representing the official policies, either expressed

More information

EEM870 Embedded System and Experiment Lecture 2: Introduction to SoC Design

EEM870 Embedded System and Experiment Lecture 2: Introduction to SoC Design EEM870 Embedded System and Experiment Lecture 2: Introduction to SoC Design Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw March 2013 Agenda

More information

EDA for ONoCs: Achievements, Challenges, and Opportunities. Ulf Schlichtmann Dresden, March 23, 2018

EDA for ONoCs: Achievements, Challenges, and Opportunities. Ulf Schlichtmann Dresden, March 23, 2018 EDA for ONoCs: Achievements, Challenges, and Opportunities Ulf Schlichtmann Dresden, March 23, 2018 1 Outline Placement PROTON (nonlinear) PLATON (force-directed) Maze Routing PlanarONoC Challenges Opportunities

More information

Energy-efficient Custom Topology-based Dynamic Voltage-frequency Island-enabled Network-on-chip Design

Energy-efficient Custom Topology-based Dynamic Voltage-frequency Island-enabled Network-on-chip Design JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.18, NO.3, JUNE, 2018 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2018.18.3.352 ISSN(Online) 2233-4866 Energy-efficient Custom Topology-based

More information

QUANTIZER DESIGN FOR EXPLOITING COMMON INFORMATION IN LAYERED CODING. Mehdi Salehifar, Tejaswi Nanjundaswamy, and Kenneth Rose

QUANTIZER DESIGN FOR EXPLOITING COMMON INFORMATION IN LAYERED CODING. Mehdi Salehifar, Tejaswi Nanjundaswamy, and Kenneth Rose QUANTIZER DESIGN FOR EXPLOITING COMMON INFORMATION IN LAYERED CODING Mehdi Salehifar, Tejaswi Nanjundaswamy, and Kenneth Rose Department of Electrical and Computer Engineering University of California,

More information

Academic Reference Standards (ARS) for Electronics and Electrical Communications Engineering, B. Sc. Program

Academic Reference Standards (ARS) for Electronics and Electrical Communications Engineering, B. Sc. Program Academic Reference Standards (ARS) for Electronics and Electrical Communications Engineering, B. Sc. Program Faculty of Electronic Engineering Menoufya University MARCH 2015 1 st Edition Contents Introduction.....2

More information

Accelerating Implementation of Low Power Artificial Intelligence at the Edge

Accelerating Implementation of Low Power Artificial Intelligence at the Edge Accelerating Implementation of Low Power Artificial Intelligence at the Edge A Lattice Semiconductor White Paper November 2018 The emergence of smart factories, cities, homes and mobile are driving shifts

More information

Center Extreme Scale CS Research

Center Extreme Scale CS Research Center Extreme Scale CS Research Center for Compressible Multiphase Turbulence University of Florida Sanjay Ranka Herman Lam Outline 10 6 10 7 10 8 10 9 cores Parallelization and UQ of Rocfun and CMT-Nek

More information

Atos announces the Bull sequana X1000 the first exascale-class supercomputer. Jakub Venc

Atos announces the Bull sequana X1000 the first exascale-class supercomputer. Jakub Venc Atos announces the Bull sequana X1000 the first exascale-class supercomputer Jakub Venc The world is changing The world is changing Digital simulation will be the key contributor to overcome 21 st century

More information

Harnessing Associative Computing for Sequence Alignment with Parallel Accelerators

Harnessing Associative Computing for Sequence Alignment with Parallel Accelerators Harnessing Associative Computing for Sequence Alignment with Parallel Accelerators Shannon I. Steinfadt Doctoral Research Showcase III Room 17 A / B 4:00-4:15 International Conference for High Performance

More information

Big Data Analytics: Research Needs. Ali Ghassemian

Big Data Analytics: Research Needs. Ali Ghassemian Big Data Analytics: Research Needs Ali Ghassemian April 28, 2016 Plan DOE s Grid Modernization Initiative (GMI) represent a comprehensive effort to help shape the future of our nation s grid and solve

More information

DARPA-BAA Hierarchical Identify Verify Exploit (HIVE) Frequently Asked Questions (FAQ) August 18, 2016

DARPA-BAA Hierarchical Identify Verify Exploit (HIVE) Frequently Asked Questions (FAQ) August 18, 2016 DARPA-BAA-16-52 Hierarchical Identify Verify Exploit (HIVE) Frequently Asked Questions (FAQ) August 18, 2016 DARPA-BAA-16-52 Hierarchical Identify Verify Exploit (HIVE) Frequently Asked Questions (FAQ)

More information

Fundamentals of Quantitative Design and Analysis

Fundamentals of Quantitative Design and Analysis Fundamentals of Quantitative Design and Analysis Dr. Jiang Li Adapted from the slides provided by the authors Computer Technology Performance improvements: Improvements in semiconductor technology Feature

More information

Introduction to Parallel Computing

Introduction to Parallel Computing Introduction to Parallel Computing Chieh-Sen (Jason) Huang Department of Applied Mathematics National Sun Yat-sen University Thank Ananth Grama, Anshul Gupta, George Karypis, and Vipin Kumar for providing

More information

21ST century enterprise. HCL Technologies Presents. Roadmap for Data Center Transformation

21ST century enterprise. HCL Technologies Presents. Roadmap for Data Center Transformation 21ST century enterprise HCL Technologies Presents Roadmap for Data Center Transformation june 2016 21st Century Impact on Data Centers The rising wave of digitalization has changed the way IT impacts business.

More information