A Utilization Aware Robust Channel Access Mechanism for Wireless NoCs

Size: px
Start display at page:

Download "A Utilization Aware Robust Channel Access Mechanism for Wireless NoCs"

Transcription

1 A Utilization Aware Robust Channel Access Mechanism for Wireless NoCs Sri Harsha Gade *, Sidhartha Sankar Rout *, Mitali Sinha *, Hemanta Kumar Mondal, Wazir Singh * and Sujay Deb * * Department of ECE, Indraprastha Institute of Information Technology Delhi, New Delhi, India CNRS Lab-STICC, University of Southern Brittany, Lorient, France Abstract Wireless Network-on-Chip (WNoC) has been proposed to overcome long-distance communication bottlenecks of wired NoCs. Token passing mechanism has generally been adapted to allocate the wireless channel among Wireless Interfaces (WIs). In this work, we propose a comparator based controller to provide a flexible and efficient channel allocation scheme. It utilizes a comparator attached to the antenna, along with modifications to header flit to perform channel allocation along with power gating WIs to save energy. Evaluation of proposed scheme on CPU/GPU system shows 53% reduction in token passes and 9% energy saving as compared to timer based approach. Keywords WNoCs, Channel access mechanism, Power gating I. INTRODUCTION Network-on-Chip (NoC) is the enabling technology for interconnecting tens and hundreds of cores on a single chip in Chip Multiprocessors (CMPs) for achieving high performance computing [1][2]. Wireless NoCs (WNoCs) further improve the performance by augmenting wired NoCs with low latency, low energy wireless links to overcome their communication bottlenecks. Traditional wired NoCs do not scale well to large system sizes due to the high latency and energy in transferring data over long distances using wired links. WNoCs overcome this by few optimally placed Wireless Interfaces (WIs) that communicate over long distances with low latency to improve the performance significantly. WIs, in general, are optimized to be placed far apart and are used only for long distance communication. Besides providing low latency and low energy communication, wireless links also offer the broadcast capability and are compatible with existing CMOS processes. Many WNoC architectures have been proposed with different wireless link designs, channel implementations, performance and overheads [3][4][5][6][7]. Though significant advances have been made, WNoCs still face several challenges before their implementation becomes feasible and ubiquitous. The wireless channel design, sharing and allocation among different WIs, along with high power consumption are two such major challenges of WNoC implementations. On-chip wireless links, in general, adopt single channel communication to keep WI overheads to a minimum and hence require a mechanism to allocate and share the channel judiciously with each WI. Even with multi-channel implementation, it is not feasible to allocate separate channel for each transmission and the channels must be shared among the WIs. Token passing mechanism [8][9][10] [11][12], in general, has been adopted to provide access of wireless channel and to eliminate the overheads associated with centralized controllers. Centralized access control mechanisms have access to global information about all WIs, but incur significant overheads to transfer control signals. Token passing control performs efficiently as compared to centralized mechanisms, but there are still several issues with their current implementations. Most existing implementations of token passing use a timer based approach [9][12] to determine passing of token from one WI to another WI. Though this approach is simple, it is not flexible to accommodate different requirements of WNoCs. Firstly, data packets, even in homogeneous NoCs, vary in the number of flits and secondly, the load at each WI varies considerably with time. Hence, a fixed timer based token passing does not efficiently accommodate the varying packet size and WI utilization scenarios, leading to inefficient use of the channel. This further becomes a critical limitation in WNoCs for heterogeneous system architectures like [13]. In heterogeneous architectures, different computing subsystems have different latency and bandwidth requirements, leading to an even skewed WI channel access requirement and a simple timer based access control method does not cater to this. To overcome the limitations of existing token passing mechanisms, we propose a comparator based controller design that performs channel allocation to different WIs. The comparator in the proposed controller is attached to the antenna in WI and pre-processes the transmitted data to control channel allocation to the WIs. In addition to channel allocation, the comparator based controller also controls the power gating operation to reduce the power consumption in WIs. WIs, not actively involved in transmission, can be power gated to significantly reduce their leakage energy [14][15][16]. For channel allocation, we append additional information in the packet header transmitted over wireless links. This information and comparator based controller, not only perform channel allocation, but also help set additional features like channel access time, channel access priority, making the proposed mechanism robust and applicable to most scenarios. The main contributions of the work are: 1. A comparator based controller for channel allocation and power gating for wireless links in WNoCs. 2. Header flit modifications for packets to control channel allocation, access time and perform power gating. 3. Detailed implementation of controller and performance evaluation of the proposed mechanism in WNoCs. II. COMPARATOR BASED CONTROLLER In this section, we describe the comparator based controller design for channel allocation mechanism of the proposed work.

2 BR BR HR BR HR BR BR BR BR BR BR HR BR HR BR BR Regular Links Wireless Links Wired inputs RX LNA Demodulator Deserializer I/P Wireless Port Buffer Header Decoder A. System Architecture The WNoC topology, shown in Fig. 1, augments 2D wired mesh topology with single hop, long-range wireless links, where WIs are used for long distance communication. The placement of the WIs in the topology is obtained using a simulated annealing based optimization technique adopted from [3]. The WNoC topology consists of Base Routers (BRs) and few Hybrid Routers (HRs) that integrate WIs with BR components. Each BR is a five port, fully connected wired router and includes wired I/O ports, buffers, crossbar, Route Computation (RC) unit, and Switch Allocator (SA). It communicates with the neighboring routers using wired links. HR router, along with BR components, also contains WI to convert packet data between digital and RF domains. It is comprised of serializer/deserializer, modulator/demodulator, power amplifier and LNA components. A single antenna is used to transmit and receive the data and the wireless channel is shared between all WIs. A comparator based controller is integrated with each WI to perform channel allocation and power gate the WI to reduce energy consumption. B. Comparator based Channel Allocation The comparator based controller design for performing channel allocation in the proposed work is shown in Fig. 2. The comparator is attached to the antenna and processes the RF signal as it is received over the channel. A pattern matching RC VC1 VCn VC1 VCn Hybrid Router Comparator based Controller Base Router Base Router TX PA Modulator Serializer O/P Wireless Port Buffer Arbiter SA Crossbar fabric Fig. 1. WNoC Topology and Hybrid Router with Wireless Interface Comparator LNA Demodulator PG Switch Demux Select Enable Deserializer I/P Buffer WI Address 0 X X X Pattern Matching Fig. 2. Comparator based Controller for Channel Allocation and Power Gating Wired outputs Bits transmitted serially over wireless link Bits to compensate for Actual packet data to be wakeup latency transmitted t 0 Dummy Header Payload Bits Dummy Bits circuit sits at the output of demodulator, which demodulates the signal and converts it to serial digital data stream before it is deserialized and written to the router input buffers. A Demux circuit controls the data stream to switch between the pattern matching circuit and input buffers. To perform channel allocation and determine appropriate receiver for a transmission and arbitration control, we modify the header flit of the packet transmitted over the wireless link. The modified packet stream is shown in Fig. 3. The dummy bits added at the start of packet transmission are to compensate for wake-up latency associated with power gating (explained in section III). For channel allocation, a unique WI Address is assigned to each WI and the WI Address of both source and destination WI are added to the header flit. These addresses are different from the actual source and destination addresses of the packet, which are also present in the header flit. The source WI Address is used to determine the arbitration and token passing, while the destination WI Address is used to resolve packet destination once WI transmission starts. Once a WI initiates a transmission, the transmitted signal is received at all WIs and the associated comparator detects a valid received signal. Upon the detection of valid signal and receiving of header flit, the source and destination WI Address bits are processed by pattern matching circuit. At each WI, the received source WI Address is matched with the address of WI that comes before itself in the arbitration. If there is a mismatch, the source address bits are discarded. Otherwise, the controller sets a single bit token signal to indicate that the WI is the next in arbitration to get access of the channel, thereby passing the token. The elegance of the proposed method is that it passes the token with few modifications to the packet format. It does not require token to be passed separately or incur additional control signaling overheads. The destination WI Address is matched with its own address to verify if the intended receiver of the packet is the current WI or not. If the address matches, the packet reception is continued, otherwise the reception is stopped using power gating mechanism. The output of the comparator indicates the start and end of any WI transmission, thereby allowing the next WI in arbitration to start its transmission immediately. To ensure fair usage and allocation of channel among all WIs, the access of channel to each WI is controlled based on load at the WI. The total packet load to be transmitted at each WI is divided into multiple levels in the proposed method and channel access time (time for which the channel is allocated to the WI) is set proportional to the current load at the input buffers of WI. The channel access time in proportion to input load is Tail Extra bits for processing t t t a t 0 t a Source Dest Source WI Dest WI Access Time Fig. 3. Format of Packet Transmitted over Wireless Link Header

3 universal across all WIs and the proposed method ensures that channel allocation is efficient in accordance with the utilization of each WI in the network. The channel access time and arbitration sequence are both written in user controlled buffers at each WI, thereby allowing them to be modified as per the user needs. Furthermore, the channel access time for each transmission is also included in the header flit as shown in Fig. 3, allowing the receiving WIs to make adaptive routing decision in regards with the usage of wireless links. By using simple comparator based controller and few modifications to the header flit format, the proposed method performs channel allocation, token passing and control of channel access time and arbitration sequence providing greater flexibility to match the requirements of any application or system architecture. III. WI POWER GATING CONTROL The comparator based controller, in addition to performing channel allocation, also reduces energy consumption in WIs by power gating them when they are not actively involved in communication. Initially, all WIs are kept in idle state. At any HR, when routing strategy decides to use WI and associated WI has token to transmit data, the transmitter in WI is brought to active state. The transmitted signal from source WI is received at the antennas of all other WIs and their receiving end is brought to active state upon detecting a valid signal in the channel. The comparator of the proposed controller differentiates the valid signal from channel noise. The threshold of the comparator is set to the average value of signal strength of the most far apart nodes and worst case noise floor value of the wireless channel. This allows the receiving WIs to be brought to active state without any additional control information. The received packet is then demodulated and deserialized through WI components. A small wake up latency is associated with power gating mechanism and the data received over wireless channel are lost while the WIs are brought to active state. To avoid this, dummy bits are added at the start of packet and the size of dummy bits is proportional to the wakeup latency of the power gating switch. Furthermore, since all WIs, along with the intended receiver of the packet become active, the packet is unnecessarily processed at all WIs, leading to unwanted energy consumption. The pattern matching circuit of received WI Address is used to detect the intended receiver of the packet (as described before) and all WIs (except the actual receiver) are put back in sleep state once the pattern matching operation is completed. This reduces the unwanted data processing and energy consumption at all WIs, thereby further increasing the energy efficiency of proposed method. While the transmission is active, all the components in both transmitting and receiving WI are kept active. Once the transmission is complete, the source WI relinquishes the access of channel, both WIs are put back to sleep state and next WI in arbitration starts transmission as described before. Hence the proposed comparator based design also controls power gating of WIs efficiently with little overhead to reduce the energy consumption in WIs. TABLE I. SYSTEM ARCHITECTURE AND NETWORK TOPOLOGY Component Configuration Cores 8 x86 OOO cores, 32 GPU cores Caches 32 KB L1 cache, 256 KB L2 cache, 8 L2 caches, 2 MB L3 cache Topology 8x8 WNoC (Mesh augmented with wireless links), 4 wireless hubs Router 8 flit buffers, 5 I/O wired ports, 2 16 flit packets, 32 bit flits Wireless 60 GHz carrier, 16 Gbps bandwidth, single cycle Link latency mechanisms. For evaluation, we have used WNoC topology designed for CPU/GPU architectures adopted from [13]. The NoC evaluation is done by simulating proposed design on cycleaccurate Noxim simulator [17]. Full system simulation of Rodinia [18] benchmarks with gem5-gpu [19] are used to generate the network traces for Noxim simulator. The details of evaluated system architecture and WNoC are shown in Table I. All cores and wired network elements are operated at clock frequency of 2 GHz. The controller is designed using Cadence Virtuoso with 65nm technology. We evaluate the proposed controller in terms of channel utilization, token passes, packet latency and energy consumption. The results are compared with timer based token passing mechanism, which uses 8 cycle access time for each WI in one arbitration sequence. A. Wireless Channel Utilization We first evaluate the efficiency of proposed controller in improving channel utilization and allocating the channel to each WI. Fig. 4 shows the improvement in channel utilization and channel allocation over the entire application duration for different benchmarks. The channel allocation improvements are measured in terms of the number of token passes at each WI. As observed from the figure, comparator based controller improves the wireless channel utilization over most of the benchmarks. On average, it improves channel utilization by 9% as compared to timer based approach. Similarly, the number of token passes at each WI using comparator based approach is reduced by 53%, on average over timer based token passing mechanism. Unlike a timer based approach which uses fixed duration at each pass, proposed approach sets the channel access time as per the load during that pass. This makes the token passing adaptable to the packet sizes and utilization of each WI, thereby improving both channel IV. EXPERIMENTAL RESULTS In this section, we discuss the experimental setup, performance benefits and overheads of proposed channel access mechanism as compared to timer based token passing Fig. 4. Improvement in Wireless Channel Utilization and Token Passes using Comparator based Controller

4 access time in proportion to the input buffer load at each WI. By allowing larger access time to WIs with high load or vice-versa, the proposed method allows for faster access to data thereby significantly reducing the overall latency of the network. This is especially the case with WI associated with GPU cores, which require high volume of data to be transmitted between the cores and main memory. Fig. 5. Average Number of Token Passes across all WIs for Different Packet Sizes utilization and token passes. This is evident from Fig. 5, which shows the number of token passes with different packet sizes for Backprop benchmark. In this scenario, the packet size is kept fixed in each case. As the packet size increases, number of token passes using timer based approach increases exponentially. At large packet sizes, each WI can transmit only a part of the packet in fixed time duration and hence requiring multiple token passes for each packet. This significantly increases the total number of token passes. In comparator based approach, the channel access time is proportional to WI input load. Hence, a large packet size translates to larger access time, thereby keeping the number of token passes constant at all packet sizes. On the other hand, if packet size is small such that time required to transmit is smaller than fixed timer, channel remains idle during the remaining period. Comparator based approach, in this case, keeps channel access time smaller and improves overall utilization of wireless channel as evident from Fig. 4. This makes the channel based approach robust against different packet sizes, packet formats and requirements at each WI (as in heterogeneous systems). B. Network Performance To evaluate network performance, we compare the average packet delay of comparator based controller with that of timer based controller. Fig. 6 shows decrease in average latency using comparator based controller for different benchmarks. From the figure, it can be observed that comparator based approach has low average latency as compared to that of timer based WNoC, though both have same hardware topology. On average, proposed approach reduces latency by 9% as compared to timer based approach. The improvements in packet latency are primarily achieved due to the efficient allocation of channel Fig. 6. Decrease in Average Packet Latency and Energy Consumption using Comparator based Controller C. Energy Saving Fig. 6 shows average packet energy savings using comparator based WNoC as compared to timer based WNoC. In both implementations, power gating is employed for WIs to save energy. As can be observed from the figure, comparator based WNoC reduces packet energy consumption by 9%, on average as compared to the timer based approach. Even though both approaches employ power gating, comparator based approach controls the WIs in accordance with their load, thereby achieving better utilization of WIs. This directly impacts the energy consumption of packets transmitted over wireless links, leading to further decrease in WI energy with comparator based approach as compared to timer based approach. D. Overheads In this section, we discuss the overheads of comparator based controller for channel allocation in WNoC. The comparator circuit requires ns to detect a valid signal in the channel once the antenna starts receiving the data and hence it adds a delay overhead of 1 system clock cycle for each transmission. The comparator along with the pattern matching circuit in the controller consumes a total power of 300 µw. The power gating switch consumes 3.16 µw power. The WI, including transmitter and receiver in total has a power consumption of 32 mw. The power gating operation saves up to 68.23% of the leakage power in WIs during sleep mode. Hence, the proposed controller adds a power overhead of 0.77% as compared to the timer based approach, while reducing the number of token passes by 53% and improving channel utilization by 9%. The total area requirement of a regular wormhole based router and WI is mm 2 and 0.16 mm 2 respectively. The comparator based controller has an area requirement of µm 2, while power gating switch consumes 100 µm 2 area. Hence, the proposed approach adds negligible area overhead, while achieving considerable improvements in channel allocation and token passing in WIs. V. CONCLUSION In this work, we propose a comparator based controller to perform allocation of wireless channel to different WIs in a WNoC topology. It also power gates the WIs, when they are not actively involved in communication to save energy. The proposed approach uses a comparator attached to the antenna and modifications to header flit of packet transmitted over wireless link to control channel allocation to WIs. It also controls channel access time in accordance with input load to improve wireless utilization and reduce number of token passes. Using the proposed approach, the channel utilization improves by 9% and number of token passes are reduced by 53% as compared to timer based token passing approaches for WNoCs.

5 REFERENCES [1] L. Benini and G. De Micheli, "Networks on chips: a new SoC paradigm," in Computer, vol. 35, no. 1, pp , Jan [2] Hyung Gyu Lee, Naehyuck Chang, Umit Y. Ogras, and Radu Marculescu On-chip communication architecture exploration: A quantitative evaluation of point-to-point, bus, and network-on-chip approaches. ACM Trans. Des. Autom. Electron. Syst. 12, 3, Article 23 (May 2008), 20 pages. [3] S. Deb, et al., "Design of an Energy-Efficient CMOS-Compatible NoC Architecture with Millimeter-Wave Wireless Interconnects," in Computers, IEEE Transactions on, vol.62, no.12, pp , Dec [4] D. DiTomaso,et al., iwise: Inter-router Wireless Scalable Express Channels for Network-on-Chip (NoCs) Architectures, IEEE Symposium on High Performance Interconnects, pp , Aug, [5] C. Wang,et al., A Wireless Network-on-Chip Design for Multicore Platforms, 19th International EuromicroConference on Parallel, Distributed and Network-Based Processing, [6] H. K. Mondal, S. H. Gade, M. S. Shamim, S. Deb and A. Ganguly, "Interference-Aware Wireless Network-on-Chip Architecture Using Directional Antennas," in IEEE Transactions on Multi-Scale Computing Systems, vol. 3, no. 3, pp , July-Sept [7] Md Shahriar Shamim, Naseef Mansoor, Aman Samaiyar, Amlan Ganguly, Sujay Deb, and Shobha Sunndar Ram Energy-efficient wireless network-on-chip architecture with log-periodic on-chip antennas. In Proceedings of the 24th edition of the great lakes symposium on VLSI (GLSVLSI '14). ACM, New York, NY, USA, [8] Dan Zhao, Yi Wang, and Hongyi Wu Dual-channel binarycountdown medium access control in wireless network-on-chip. In Proceedings of the 2nd international conference on Nano-Networks (Nano-Net '07). ICST (Institute for Computer Sciences, Social- Informatics and Telecommunications Engineering), ICST, Brussels, Belgium, Belgium,, Article 11, 5 pages. [9] A. Ganguly, K. Chang, S. Deb, P. P. Pande, B. Belzer and C. Teuscher, "Scalable Hybrid Wireless Network-on-Chip Architectures for Multicore Systems," in IEEE Transactions on Computers, vol. 60, no. 10, pp , Oct [10] N. Mansoor, M. P. Yuvaraj and A. Ganguly, "A robust medium access mechanism for millimeter-wave Wireless Network-on-Chip architecture," 2013 IEEE International SOC Conference, Erlangen, 2013, pp [11] H. K. Mondal, S. H. Gade, S. Kaushik and S. Deb, "Adaptive Multi- Voltage Scaling with Utilization Prediction for Energy-Efficient Wireless NoC," in IEEE Transactions on Sustainable Computing, vol. 2, no. 4, pp , Oct.-Dec [12] M. Palesi, M. Collotta, A. Mineo, V. Catania, "An Efficient Radio Access Control Mechanism for Wireless Network-On-Chip Architectures," in Journal of Low Power Electronics and Applications, vol. 5, no. 2, pp , [13] S. H. Gade and S. Deb, "HyWin: Hybrid Wireless NoC with Sandboxed Sub-Networks for CPU/GPU Architectures," in IEEE Transactions on Computers, vol. 66, no. 7, pp , July [14] H. K. Mondal and S. Deb, "An energy efficient wireless Network-on-Chip using power-gated transceivers," th IEEE International Systemon-Chip Conference (SOCC), Las Vegas, NV, 2014, pp [15] H. K. Mondal, S. H. Gade, R. Kishore, S. Kaushik and S. Deb, "Power efficient router architecture for wireless Network-on-Chip," th International Symposium on Quality Electronic Design (ISQED), Santa Clara, CA, 2016, pp [16] H. K. Mondal, S. H. Gade, R. Kishore and S. Deb, "Adaptive multivoltage scaling in wireless NoC for high performance low power applications," 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE), Dresden, 2016, pp [17] Vincenzo Catania, Andrea Mineo, Salvatore Monteleone, Maurizio Palesi, and Davide Patti Cycle-Accurate Network on Chip Simulation with Noxim. ACM Transactions on Modeling and Computer Simulation. Volume 27 Issue 1, November [18] S. Che et al., "Rodinia: A benchmark suite for heterogeneous computing," 2009 IEEE International Symposium on Workload Characterization (IISWC), Austin, TX, 2009, pp [19] J. Power, J. Hestness, M. S. Orr, M. D. Hill and D. A. Wood, "gem5-gpu: A Heterogeneous CPU-GPU Simulator," in IEEE Computer Architecture Letters, vol. 14, no. 1, pp , Jan.-June

Adaptive Multi-Voltage Scaling in Wireless NoC for High Performance Low Power Applications

Adaptive Multi-Voltage Scaling in Wireless NoC for High Performance Low Power Applications Adaptive Multi-Voltage Scaling in Wireless NoC for High Performance Low Power Applications Hemanta Kumar Mondal, Sri Harsha Gade, Raghav Kishore, Sujay Deb Department of Electronics and Communication Engineering

More information

Network on Chip Architecture: An Overview

Network on Chip Architecture: An Overview Network on Chip Architecture: An Overview Md Shahriar Shamim & Naseef Mansoor 12/5/2014 1 Overview Introduction Multi core chip Challenges Network on Chip Architecture Regular Topology Irregular Topology

More information

Enhancing Performance of Network-on-Chip Architectures with Millimeter-Wave Wireless Interconnects

Enhancing Performance of Network-on-Chip Architectures with Millimeter-Wave Wireless Interconnects Enhancing Performance of etwork-on-chip Architectures with Millimeter-Wave Wireless Interconnects Sujay Deb, Amlan Ganguly, Kevin Chang, Partha Pande, Benjamin Belzer, Deuk Heo School of Electrical Engineering

More information

Network on Chip Architectures BY JAGAN MURALIDHARAN NIRAJ VASUDEVAN

Network on Chip Architectures BY JAGAN MURALIDHARAN NIRAJ VASUDEVAN Network on Chip Architectures BY JAGAN MURALIDHARAN NIRAJ VASUDEVAN Multi Core Chips No more single processor systems High computational power requirements Increasing clock frequency increases power dissipation

More information

Power- and Performance-Aware Fine-Grained Reconfigurable Router Architecture for NoC

Power- and Performance-Aware Fine-Grained Reconfigurable Router Architecture for NoC Power- and Performance-Aware Fine-Grained Reconfigurable Router Architecture for NoC Hemanta Kumar Mondal, Sri Harsha Gade, Raghav Kishore and Sujay Deb Dept. of Electronics and Communication Engineering

More information

Network-on-Chip Architecture

Network-on-Chip Architecture Multiple Processor Systems(CMPE-655) Network-on-Chip Architecture Performance aspect and Firefly network architecture By Siva Shankar Chandrasekaran and SreeGowri Shankar Agenda (Enhancing performance)

More information

Designing Scalable Hybrid Wireless NoC for GPGPUs

Designing Scalable Hybrid Wireless NoC for GPGPUs Designing Scalable Hybrid Wireless NoC for GPGPUs Hui Zhao, Xianwei Cheng, Saraju Mohanty, and Juan Fang 2 Department of Computer Science and Engineering, University of North Texas hui.zhao@unt.edu, xianweicheng@my.unt.edu,

More information

Achieving Lightweight Multicast in Asynchronous Networks-on-Chip Using Local Speculation

Achieving Lightweight Multicast in Asynchronous Networks-on-Chip Using Local Speculation Achieving Lightweight Multicast in Asynchronous Networks-on-Chip Using Local Speculation Kshitij Bhardwaj Dept. of Computer Science Columbia University Steven M. Nowick 2016 ACM/IEEE Design Automation

More information

FPGA BASED ADAPTIVE RESOURCE EFFICIENT ERROR CONTROL METHODOLOGY FOR NETWORK ON CHIP

FPGA BASED ADAPTIVE RESOURCE EFFICIENT ERROR CONTROL METHODOLOGY FOR NETWORK ON CHIP FPGA BASED ADAPTIVE RESOURCE EFFICIENT ERROR CONTROL METHODOLOGY FOR NETWORK ON CHIP 1 M.DEIVAKANI, 2 D.SHANTHI 1 Associate Professor, Department of Electronics and Communication Engineering PSNA College

More information

CMOS Compatible Many-Core NoC Architectures with Multi-Channel Millimeter-Wave Wireless Links

CMOS Compatible Many-Core NoC Architectures with Multi-Channel Millimeter-Wave Wireless Links CMOS Compatible Many-Core NoC Architectures with Multi-Channel Millimeter-Wave Wireless Links Sujay Deb, Kevin Chang, Miralem Cosic, Partha Pande, Deukhyoun Heo, Benjamin Belzer School of Electrical Engineering

More information

Noc Evolution and Performance Optimization by Addition of Long Range Links: A Survey. By Naveen Choudhary & Vaishali Maheshwari

Noc Evolution and Performance Optimization by Addition of Long Range Links: A Survey. By Naveen Choudhary & Vaishali Maheshwari Global Journal of Computer Science and Technology: E Network, Web & Security Volume 15 Issue 6 Version 1.0 Year 2015 Type: Double Blind Peer Reviewed International Research Journal Publisher: Global Journals

More information

Fault Tolerant and Secure Architectures for On Chip Networks With Emerging Interconnect Technologies. Mohsin Y Ahmed Conlan Wesson

Fault Tolerant and Secure Architectures for On Chip Networks With Emerging Interconnect Technologies. Mohsin Y Ahmed Conlan Wesson Fault Tolerant and Secure Architectures for On Chip Networks With Emerging Interconnect Technologies Mohsin Y Ahmed Conlan Wesson Overview NoC: Future generation of many core processor on a single chip

More information

COMPARATIVE PERFORMANCE EVALUATION OF WIRELESS AND OPTICAL NOC ARCHITECTURES

COMPARATIVE PERFORMANCE EVALUATION OF WIRELESS AND OPTICAL NOC ARCHITECTURES COMPARATIVE PERFORMANCE EVALUATION OF WIRELESS AND OPTICAL NOC ARCHITECTURES Sujay Deb, Kevin Chang, Amlan Ganguly, Partha Pande School of Electrical Engineering and Computer Science, Washington State

More information

Evaluation of NOC Using Tightly Coupled Router Architecture

Evaluation of NOC Using Tightly Coupled Router Architecture IOSR Journal of Computer Engineering (IOSR-JCE) e-issn: 2278-0661,p-ISSN: 2278-8727, Volume 18, Issue 1, Ver. II (Jan Feb. 2016), PP 01-05 www.iosrjournals.org Evaluation of NOC Using Tightly Coupled Router

More information

A Dynamic NOC Arbitration Technique using Combination of VCT and XY Routing

A Dynamic NOC Arbitration Technique using Combination of VCT and XY Routing 727 A Dynamic NOC Arbitration Technique using Combination of VCT and XY Routing 1 Bharati B. Sayankar, 2 Pankaj Agrawal 1 Electronics Department, Rashtrasant Tukdoji Maharaj Nagpur University, G.H. Raisoni

More information

HARDWARE IMPLEMENTATION OF PIPELINE BASED ROUTER DESIGN FOR ON- CHIP NETWORK

HARDWARE IMPLEMENTATION OF PIPELINE BASED ROUTER DESIGN FOR ON- CHIP NETWORK DOI: 10.21917/ijct.2012.0092 HARDWARE IMPLEMENTATION OF PIPELINE BASED ROUTER DESIGN FOR ON- CHIP NETWORK U. Saravanakumar 1, R. Rangarajan 2 and K. Rajasekar 3 1,3 Department of Electronics and Communication

More information

Routing Algorithms, Process Model for Quality of Services (QoS) and Architectures for Two-Dimensional 4 4 Mesh Topology Network-on-Chip

Routing Algorithms, Process Model for Quality of Services (QoS) and Architectures for Two-Dimensional 4 4 Mesh Topology Network-on-Chip Routing Algorithms, Process Model for Quality of Services (QoS) and Architectures for Two-Dimensional 4 4 Mesh Topology Network-on-Chip Nauman Jalil, Adnan Qureshi, Furqan Khan, and Sohaib Ayyaz Qazi Abstract

More information

A Scalable Hierarchical Ring Based Wireless Network-on-Chip

A Scalable Hierarchical Ring Based Wireless Network-on-Chip 216 International Conference on Information Technology A Scalable Hierarchical Ring Based Wireless Network-on-Chip Munshi Mostafijur Rahaman, Prasun Ghosal, Siddhartha Biswas Indian Institute of Engineering

More information

Deadlock-free XY-YX router for on-chip interconnection network

Deadlock-free XY-YX router for on-chip interconnection network LETTER IEICE Electronics Express, Vol.10, No.20, 1 5 Deadlock-free XY-YX router for on-chip interconnection network Yeong Seob Jeong and Seung Eun Lee a) Dept of Electronic Engineering Seoul National Univ

More information

Design and Implementation of Low Complexity Router for 2D Mesh Topology using FPGA

Design and Implementation of Low Complexity Router for 2D Mesh Topology using FPGA Design and Implementation of Low Complexity Router for 2D Mesh Topology using FPGA Maheswari Murali * and Seetharaman Gopalakrishnan # * Assistant professor, J. J. College of Engineering and Technology,

More information

LOW POWER REDUCED ROUTER NOC ARCHITECTURE DESIGN WITH CLASSICAL BUS BASED SYSTEM

LOW POWER REDUCED ROUTER NOC ARCHITECTURE DESIGN WITH CLASSICAL BUS BASED SYSTEM Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 4, Issue. 5, May 2015, pg.705

More information

Lecture 3: Flow-Control

Lecture 3: Flow-Control High-Performance On-Chip Interconnects for Emerging SoCs http://tusharkrishna.ece.gatech.edu/teaching/nocs_acaces17/ ACACES Summer School 2017 Lecture 3: Flow-Control Tushar Krishna Assistant Professor

More information

FCUDA-NoC: A Scalable and Efficient Network-on-Chip Implementation for the CUDA-to-FPGA Flow

FCUDA-NoC: A Scalable and Efficient Network-on-Chip Implementation for the CUDA-to-FPGA Flow FCUDA-NoC: A Scalable and Efficient Network-on-Chip Implementation for the CUDA-to-FPGA Flow Abstract: High-level synthesis (HLS) of data-parallel input languages, such as the Compute Unified Device Architecture

More information

High Performance Interconnect and NoC Router Design

High Performance Interconnect and NoC Router Design High Performance Interconnect and NoC Router Design Brinda M M.E Student, Dept. of ECE (VLSI Design) K.Ramakrishnan College of Technology Samayapuram, Trichy 621 112 brinda18th@gmail.com Devipoonguzhali

More information

FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC)

FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC) FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC) D.Udhayasheela, pg student [Communication system],dept.ofece,,as-salam engineering and technology, N.MageshwariAssistant Professor

More information

Lecture 18: Communication Models and Architectures: Interconnection Networks

Lecture 18: Communication Models and Architectures: Interconnection Networks Design & Co-design of Embedded Systems Lecture 18: Communication Models and Architectures: Interconnection Networks Sharif University of Technology Computer Engineering g Dept. Winter-Spring 2008 Mehdi

More information

Dynamic Packet Fragmentation for Increased Virtual Channel Utilization in On-Chip Routers

Dynamic Packet Fragmentation for Increased Virtual Channel Utilization in On-Chip Routers Dynamic Packet Fragmentation for Increased Virtual Channel Utilization in On-Chip Routers Young Hoon Kang, Taek-Jun Kwon, and Jeff Draper {youngkan, tjkwon, draper}@isi.edu University of Southern California

More information

On an Overlaid Hybrid Wire/Wireless Interconnection Architecture for Network-on-Chip

On an Overlaid Hybrid Wire/Wireless Interconnection Architecture for Network-on-Chip On an Overlaid Hybrid Wire/Wireless Interconnection Architecture for Network-on-Chip Ling Wang, Zhihai Guo, Peng Lv Dept. of Computer Science and Technology Harbin Institute of Technology Harbin, China

More information

Design of Adaptive Communication Channel Buffers for Low-Power Area- Efficient Network-on. on-chip Architecture

Design of Adaptive Communication Channel Buffers for Low-Power Area- Efficient Network-on. on-chip Architecture Design of Adaptive Communication Channel Buffers for Low-Power Area- Efficient Network-on on-chip Architecture Avinash Kodi, Ashwini Sarathy * and Ahmed Louri * Department of Electrical Engineering and

More information

Energy Efficient and Congestion-Aware Router Design for Future NoCs

Energy Efficient and Congestion-Aware Router Design for Future NoCs 216 29th International Conference on VLSI Design and 216 15th International Conference on Embedded Systems Energy Efficient and Congestion-Aware Router Design for Future NoCs Wazir Singh and Sujay Deb

More information

CAD System Lab Graduate Institute of Electronics Engineering National Taiwan University Taipei, Taiwan, ROC

CAD System Lab Graduate Institute of Electronics Engineering National Taiwan University Taipei, Taiwan, ROC QoS Aware BiNoC Architecture Shih-Hsin Lo, Ying-Cherng Lan, Hsin-Hsien Hsien Yeh, Wen-Chung Tsai, Yu-Hen Hu, and Sao-Jie Chen Ying-Cherng Lan CAD System Lab Graduate Institute of Electronics Engineering

More information

DVFS-ENABLED SUSTAINABLE WIRELESS NoC ARCHITECTURE

DVFS-ENABLED SUSTAINABLE WIRELESS NoC ARCHITECTURE DVFS-ENABLED SUSTAINABLE WIRELESS NoC ARCHITECTURE Jacob Murray, Partha Pratim Pande, Behrooz Shirazi School of Electrical Engineering and Computer Science Washington State University {jmurray, pande,

More information

Performance Evaluation and Design Trade-Offs for Wireless Network-on-Chip Architectures

Performance Evaluation and Design Trade-Offs for Wireless Network-on-Chip Architectures Performance Evaluation and Design Trade-Offs for Wireless Network-on-Chip Architectures KEVIN CHANG and SUJAY DEB, Washington State University AMLAN GANGULY, Rochester Institute of Technology XINMIN YU,

More information

Planar Wireless NoC Architectures

Planar Wireless NoC Architectures Planar Wireless NoC Architectures Partha Pratim Pande and Deukhyoun Heo School of Electrical Engineering and Computer Science Additional Contributors: Amlan Ganguly, Sujay Deb, Paul WeCin, Jacob Murray,

More information

Design and Implementation of Buffer Loan Algorithm for BiNoC Router

Design and Implementation of Buffer Loan Algorithm for BiNoC Router Design and Implementation of Buffer Loan Algorithm for BiNoC Router Deepa S Dev Student, Department of Electronics and Communication, Sree Buddha College of Engineering, University of Kerala, Kerala, India

More information

Low-Power Interconnection Networks

Low-Power Interconnection Networks Low-Power Interconnection Networks Li-Shiuan Peh Associate Professor EECS, CSAIL & MTL MIT 1 Moore s Law: Double the number of transistors on chip every 2 years 1970: Clock speed: 108kHz No. transistors:

More information

on Chip Architectures for Multi Core Systems

on Chip Architectures for Multi Core Systems Wireless Network on on Chip Architectures for Multi Core Systems Pratheep Joe Siluvai, Qutaiba Saleh pi4810@rit.edu, qms7252@rit.edu Outlines Multi-core System Wired Network-on-chip o Problem of wired

More information

NoC Test-Chip Project: Working Document

NoC Test-Chip Project: Working Document NoC Test-Chip Project: Working Document Michele Petracca, Omar Ahmad, Young Jin Yoon, Frank Zovko, Luca Carloni and Kenneth Shepard I. INTRODUCTION This document describes the low-power high-performance

More information

FDMA Enabled Phase-based Wireless Networkon-Chip using Graphene-based THz-band Antennas

FDMA Enabled Phase-based Wireless Networkon-Chip using Graphene-based THz-band Antennas Rochester Institute of Technology RIT Scholar Works Theses Thesis/Dissertation Collections 11-2017 FDMA Enabled Phase-based Wireless Networkon-Chip using Graphene-based THz-band Antennas Deekshith Shenoy

More information

NetSpeed ORION: A New Approach to Design On-chip Interconnects. August 26 th, 2013

NetSpeed ORION: A New Approach to Design On-chip Interconnects. August 26 th, 2013 NetSpeed ORION: A New Approach to Design On-chip Interconnects August 26 th, 2013 INTERCONNECTS BECOMING INCREASINGLY IMPORTANT Growing number of IP cores Average SoCs today have 100+ IPs Mixing and matching

More information

A Novel Energy Efficient Source Routing for Mesh NoCs

A Novel Energy Efficient Source Routing for Mesh NoCs 2014 Fourth International Conference on Advances in Computing and Communications A ovel Energy Efficient Source Routing for Mesh ocs Meril Rani John, Reenu James, John Jose, Elizabeth Isaac, Jobin K. Antony

More information

Part IV: 3D WiNoC Architectures

Part IV: 3D WiNoC Architectures Wireless NoC as Interconnection Backbone for Multicore Chips: Promises, Challenges, and Recent Developments Part IV: 3D WiNoC Architectures Hiroki Matsutani Keio University, Japan 1 Outline: 3D WiNoC Architectures

More information

Basic Low Level Concepts

Basic Low Level Concepts Course Outline Basic Low Level Concepts Case Studies Operation through multiple switches: Topologies & Routing v Direct, indirect, regular, irregular Formal models and analysis for deadlock and livelock

More information

Power and Performance Efficient Partial Circuits in Packet-Switched Networks-on-Chip

Power and Performance Efficient Partial Circuits in Packet-Switched Networks-on-Chip 2013 21st Euromicro International Conference on Parallel, Distributed, and Network-Based Processing Power and Performance Efficient Partial Circuits in Packet-Switched Networks-on-Chip Nasibeh Teimouri

More information

SERVICE ORIENTED REAL-TIME BUFFER MANAGEMENT FOR QOS ON ADAPTIVE ROUTERS

SERVICE ORIENTED REAL-TIME BUFFER MANAGEMENT FOR QOS ON ADAPTIVE ROUTERS SERVICE ORIENTED REAL-TIME BUFFER MANAGEMENT FOR QOS ON ADAPTIVE ROUTERS 1 SARAVANAN.K, 2 R.M.SURESH 1 Asst.Professor,Department of Information Technology, Velammal Engineering College, Chennai, Tamilnadu,

More information

Efficient Throughput-Guarantees for Latency-Sensitive Networks-On-Chip

Efficient Throughput-Guarantees for Latency-Sensitive Networks-On-Chip ASP-DAC 2010 20 Jan 2010 Session 6C Efficient Throughput-Guarantees for Latency-Sensitive Networks-On-Chip Jonas Diemer, Rolf Ernst TU Braunschweig, Germany diemer@ida.ing.tu-bs.de Michael Kauschke Intel,

More information

Design of an Efficient NoC Architecture using Millimeter-Wave Wireless Links

Design of an Efficient NoC Architecture using Millimeter-Wave Wireless Links Design of an Efficient NoC Architecture using Millimeter-Wave Wireless Links Sujay Deb 1, Kevin Chang 1, Amlan Ganguly 2, Xinmin Yu 1, Christof Teuscher 3, Partha Pande 1, Deukhyoun Heo 1, Benjamin Belzer

More information

Flow Control can be viewed as a problem of

Flow Control can be viewed as a problem of NOC Flow Control 1 Flow Control Flow Control determines how the resources of a network, such as channel bandwidth and buffer capacity are allocated to packets traversing a network Goal is to use resources

More information

Networks-on-Chip Router: Configuration and Implementation

Networks-on-Chip Router: Configuration and Implementation Networks-on-Chip : Configuration and Implementation Wen-Chung Tsai, Kuo-Chih Chu * 2 1 Department of Information and Communication Engineering, Chaoyang University of Technology, Taichung 413, Taiwan,

More information

Design and Implementation of a Packet Switched Dynamic Buffer Resize Router on FPGA Vivek Raj.K 1 Prasad Kumar 2 Shashi Raj.K 3

Design and Implementation of a Packet Switched Dynamic Buffer Resize Router on FPGA Vivek Raj.K 1 Prasad Kumar 2 Shashi Raj.K 3 IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 02, 2014 ISSN (online): 2321-0613 Design and Implementation of a Packet Switched Dynamic Buffer Resize Router on FPGA Vivek

More information

Hybrid On-chip Data Networks. Gilbert Hendry Keren Bergman. Lightwave Research Lab. Columbia University

Hybrid On-chip Data Networks. Gilbert Hendry Keren Bergman. Lightwave Research Lab. Columbia University Hybrid On-chip Data Networks Gilbert Hendry Keren Bergman Lightwave Research Lab Columbia University Chip-Scale Interconnection Networks Chip multi-processors create need for high performance interconnects

More information

An Interconnection Architecture for Seamless Inter and Intra-Chip Communication Using Wireless Links

An Interconnection Architecture for Seamless Inter and Intra-Chip Communication Using Wireless Links Rochester Institute of Technology RIT Scholar Works Theses Thesis/Dissertation Collections 6-2015 An Interconnection Architecture for Seamless Inter and Intra-Chip Communication Using Wireless Links Jagan

More information

Fault-Tolerant Multiple Task Migration in Mesh NoC s over virtual Point-to-Point connections

Fault-Tolerant Multiple Task Migration in Mesh NoC s over virtual Point-to-Point connections Fault-Tolerant Multiple Task Migration in Mesh NoC s over virtual Point-to-Point connections A.SAI KUMAR MLR Group of Institutions Dundigal,INDIA B.S.PRIYANKA KUMARI CMR IT Medchal,INDIA Abstract Multiple

More information

Hybrid Integration of a Semiconductor Optical Amplifier for High Throughput Optical Packet Switched Interconnection Networks

Hybrid Integration of a Semiconductor Optical Amplifier for High Throughput Optical Packet Switched Interconnection Networks Hybrid Integration of a Semiconductor Optical Amplifier for High Throughput Optical Packet Switched Interconnection Networks Odile Liboiron-Ladouceur* and Keren Bergman Columbia University, 500 West 120

More information

WITH the development of the semiconductor technology,

WITH the development of the semiconductor technology, Dual-Link Hierarchical Cluster-Based Interconnect Architecture for 3D Network on Chip Guang Sun, Yong Li, Yuanyuan Zhang, Shijun Lin, Li Su, Depeng Jin and Lieguang zeng Abstract Network on Chip (NoC)

More information

An Approach for Enhanced Performance of Packet Transmission over Packet Switched Network

An Approach for Enhanced Performance of Packet Transmission over Packet Switched Network ISSN (e): 2250 3005 Volume, 06 Issue, 04 April 2016 International Journal of Computational Engineering Research (IJCER) An Approach for Enhanced Performance of Packet Transmission over Packet Switched

More information

Design of Reconfigurable Router for NOC Applications Using Buffer Resizing Techniques

Design of Reconfigurable Router for NOC Applications Using Buffer Resizing Techniques Design of Reconfigurable Router for NOC Applications Using Buffer Resizing Techniques Nandini Sultanpure M.Tech (VLSI Design and Embedded System), Dept of Electronics and Communication Engineering, Lingaraj

More information

Design of an Energy Efficient CMOS Compatible NoC Architecture with Millimeter- Wave Wireless Interconnects

Design of an Energy Efficient CMOS Compatible NoC Architecture with Millimeter- Wave Wireless Interconnects IEEE TRANSACTIONS ON JOURNAL NAME, MANUSCRIPT ID 1 Design of an Energy Efficient CMOS Compatible NoC Architecture with Millimeter- Wave Wireless Interconnects Sujay Deb, Student Member, IEEE, Kevin Chang,

More information

Energy-Efficient Multicore Chip Design Through Cross-Layer Approach

Energy-Efficient Multicore Chip Design Through Cross-Layer Approach Energy-Efficient Multicore Chip Design Through Cross-Layer Approach Paul Wettin, Jacob Murray, Partha Pande, Behrooz Shirazi School of Electrical Engineering and Computer Science Washington State University

More information

3D WiNoC Architectures

3D WiNoC Architectures Interconnect Enhances Architecture: Evolution of Wireless NoC from Planar to 3D 3D WiNoC Architectures Hiroki Matsutani Keio University, Japan Sep 18th, 2014 Hiroki Matsutani, "3D WiNoC Architectures",

More information

Performance of Multihop Communications Using Logical Topologies on Optical Torus Networks

Performance of Multihop Communications Using Logical Topologies on Optical Torus Networks Performance of Multihop Communications Using Logical Topologies on Optical Torus Networks X. Yuan, R. Melhem and R. Gupta Department of Computer Science University of Pittsburgh Pittsburgh, PA 156 fxyuan,

More information

Overlaid Mesh Topology Design and Deadlock Free Routing in Wireless Network-on-Chip. Danella Zhao and Ruizhe Wu Presented by Zhonghai Lu, KTH

Overlaid Mesh Topology Design and Deadlock Free Routing in Wireless Network-on-Chip. Danella Zhao and Ruizhe Wu Presented by Zhonghai Lu, KTH Overlaid Mesh Topology Design and Deadlock Free Routing in Wireless Network-on-Chip Danella Zhao and Ruizhe Wu Presented by Zhonghai Lu, KTH Outline Introduction Overview of WiNoC system architecture Overlaid

More information

Encoding Scheme for Power Reduction in Network on Chip Links

Encoding Scheme for Power Reduction in Network on Chip Links RESEARCH ARICLE OPEN ACCESS Encoding Scheme for Power Reduction in Network on Chip Links Chetan S.Behere*, Somulu Gugulothu** *(Department of Electronics, YCCE, Nagpur-10 Email: chetanbehere@gmail.com)

More information

A Multicast Routing Algorithm for 3D Network-on-Chip in Chip Multi-Processors

A Multicast Routing Algorithm for 3D Network-on-Chip in Chip Multi-Processors Proceedings of the World Congress on Engineering 2018 ol I A Routing Algorithm for 3 Network-on-Chip in Chip Multi-Processors Rui Ben, Fen Ge, intian Tong, Ning Wu, ing hang, and Fang hou Abstract communication

More information

Physical Implementation of the DSPIN Network-on-Chip in the FAUST Architecture

Physical Implementation of the DSPIN Network-on-Chip in the FAUST Architecture 1 Physical Implementation of the DSPI etwork-on-chip in the FAUST Architecture Ivan Miro-Panades 1,2,3, Fabien Clermidy 3, Pascal Vivet 3, Alain Greiner 1 1 The University of Pierre et Marie Curie, Paris,

More information

THE Network-on-chip (NoC) has emerged as a revolutionary

THE Network-on-chip (NoC) has emerged as a revolutionary 2382 IEEE TRANSACTIONS ON COMPUTERS, VOL. 62, NO. 12, DECEMBER 2013 Design of an Energy-Efficient CMOS-Compatible NoC Architecture with Millimeter-Wave Wireless Interconnects Sujay Deb, Member, IEEE, Kevin

More information

Design of Synchronous NoC Router for System-on-Chip Communication and Implement in FPGA using VHDL

Design of Synchronous NoC Router for System-on-Chip Communication and Implement in FPGA using VHDL Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology ISSN 2320 088X IJCSMC, Vol. 2, Issue.

More information

End-To-End Delay Optimization in Wireless Sensor Network (WSN)

End-To-End Delay Optimization in Wireless Sensor Network (WSN) Shweta K. Kanhere 1, Mahesh Goudar 2, Vijay M. Wadhai 3 1,2 Dept. of Electronics Engineering Maharashtra Academy of Engineering, Alandi (D), Pune, India 3 MITCOE Pune, India E-mail: shweta.kanhere@gmail.com,

More information

Configuration of Offset Time in Optical Burst Switching Networks for Delay Sensitive Traffic

Configuration of Offset Time in Optical Burst Switching Networks for Delay Sensitive Traffic Configuration of Offset Time in Optical Burst Switching Networks for Delay Sensitive Traffic Anupam Soni and Yatindra Nath Singh anusoni@iitk.ac.in,ynsingh@iitk.ac.in. Abstract In Optical Burst Switching

More information

Implementation of a Wake-up Radio Cross-Layer Protocol in OMNeT++ / MiXiM

Implementation of a Wake-up Radio Cross-Layer Protocol in OMNeT++ / MiXiM Implementation of a Wake-up Radio Cross-Layer Protocol in OMNeT++ / MiXiM Jean Lebreton and Nour Murad University of La Reunion, LE2P 40 Avenue de Soweto, 97410 Saint-Pierre Email: jean.lebreton@univ-reunion.fr

More information

NEtwork-on-Chip (NoC) [3], [6] is a scalable interconnect

NEtwork-on-Chip (NoC) [3], [6] is a scalable interconnect 1 A Soft Tolerant Network-on-Chip Router Pipeline for Multi-core Systems Pavan Poluri and Ahmed Louri Department of Electrical and Computer Engineering, University of Arizona Email: pavanp@email.arizona.edu,

More information

A 256-Radix Crossbar Switch Using Mux-Matrix-Mux Folded-Clos Topology

A 256-Radix Crossbar Switch Using Mux-Matrix-Mux Folded-Clos Topology http://dx.doi.org/10.5573/jsts.014.14.6.760 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.6, DECEMBER, 014 A 56-Radix Crossbar Switch Using Mux-Matrix-Mux Folded-Clos Topology Sung-Joon Lee

More information

Wireless NoC as Interconnection Backbone for Multicore Chips: Promises and Challenges

Wireless NoC as Interconnection Backbone for Multicore Chips: Promises and Challenges 228 IEEE JOURNAL ON EMERGING AND SELECTED TOPICS IN CIRCUITS AND SYSTEMS, VOL. 2, NO. 2, JUNE 2012 Wireless NoC as Interconnection Backbone for Multicore Chips: Promises and Challenges Sujay Deb, Student

More information

Research Article MFT-MAC: A Duty-Cycle MAC Protocol Using Multiframe Transmission for Wireless Sensor Networks

Research Article MFT-MAC: A Duty-Cycle MAC Protocol Using Multiframe Transmission for Wireless Sensor Networks Distributed Sensor Networks Volume 2013, Article ID 858765, 6 pages http://dx.doi.org/10.1155/2013/858765 Research Article MFT-MAC: A Duty-Cycle MAC Protocol Using Multiframe Transmission for Wireless

More information

Configurable Error Control Scheme for NoC Signal Integrity*

Configurable Error Control Scheme for NoC Signal Integrity* Configurable Error Control Scheme for NoC Signal Integrity* Daniele Rossi Paolo Angelini Cecilia Metra D.E.I.S. University of Bologna Viale Risorgimento 2, 40136 Bologna, Italy {drossi, cmetra}@deis.unibo.it

More information

PERFORMANCE EVALUATION OF WIRELESS NETWORKS ON CHIP JYUN-LYANG CHANG

PERFORMANCE EVALUATION OF WIRELESS NETWORKS ON CHIP JYUN-LYANG CHANG PERFORMANCE EVALUATION OF WIRELESS NETWORKS ON CHIP By JYUN-LYANG CHANG A thesis submitted in partial fulfillment of the requirements for the degree of MASTER OF SCIENCE IN ELECTRICAL ENGINEERING WASHINGTON

More information

A MAC protocol for Reliable Broadcast Communica7ons in Wireless Network- on- Chip

A MAC protocol for Reliable Broadcast Communica7ons in Wireless Network- on- Chip A MAC protocol for Reliable Broadcast Communica7ons in Wireless Network- on- Chip Sergi Abadal (abadal@ac.upc.edu) Albert Mestres, Josep Torrellas, Eduard Alarcón, and Albert Cabellos- Aparicio UPC and

More information

Real Time NoC Based Pipelined Architectonics With Efficient TDM Schema

Real Time NoC Based Pipelined Architectonics With Efficient TDM Schema Real Time NoC Based Pipelined Architectonics With Efficient TDM Schema [1] Laila A, [2] Ajeesh R V [1] PG Student [VLSI & ES] [2] Assistant professor, Department of ECE, TKM Institute of Technology, Kollam

More information

An optically transparent ultra high speed LAN-ring employing OTDM

An optically transparent ultra high speed LAN-ring employing OTDM An optically transparent ultra high speed LAN-ring employing OTDM K. Bengi, G. Remsak, H.R. van As Vienna University of Technology, Institute of Communication Networks Gusshausstrasse 25/388, A-1040 Vienna,

More information

Performance Evaluation of Wireless NoCs in Presence of Irregular Network Routing Strategies

Performance Evaluation of Wireless NoCs in Presence of Irregular Network Routing Strategies Performance Evaluation of Wireless NoCs in Presence of Irregular Network Routing Strategies Paul Wettin, Jacob Murray, Ryan Kim, Xinmin Yu, Partha Pratim Pande, Deukhyoun Heo School of Electrical Engineering

More information

MinRoot and CMesh: Interconnection Architectures for Network-on-Chip Systems

MinRoot and CMesh: Interconnection Architectures for Network-on-Chip Systems MinRoot and CMesh: Interconnection Architectures for Network-on-Chip Systems Mohammad Ali Jabraeil Jamali, Ahmad Khademzadeh Abstract The success of an electronic system in a System-on- Chip is highly

More information

Lecture: Interconnection Networks

Lecture: Interconnection Networks Lecture: Interconnection Networks Topics: Router microarchitecture, topologies Final exam next Tuesday: same rules as the first midterm 1 Packets/Flits A message is broken into multiple packets (each packet

More information

Processor Architectures At A Glance: M.I.T. Raw vs. UC Davis AsAP

Processor Architectures At A Glance: M.I.T. Raw vs. UC Davis AsAP Processor Architectures At A Glance: M.I.T. Raw vs. UC Davis AsAP Presenter: Course: EEC 289Q: Reconfigurable Computing Course Instructor: Professor Soheil Ghiasi Outline Overview of M.I.T. Raw processor

More information

A DRAM Centric NoC Architecture and Topology Design Approach

A DRAM Centric NoC Architecture and Topology Design Approach 11 IEEE Computer Society Annual Symposium on VLSI A DRAM Centric NoC Architecture and Topology Design Approach Ciprian Seiculescu, Srinivasan Murali, Luca Benini, Giovanni De Micheli LSI, EPFL, Lausanne,

More information

Phastlane: A Rapid Transit Optical Routing Network

Phastlane: A Rapid Transit Optical Routing Network Phastlane: A Rapid Transit Optical Routing Network Mark Cianchetti, Joseph Kerekes, and David Albonesi Computer Systems Laboratory Cornell University The Interconnect Bottleneck Future processors: tens

More information

Lecture 12: Interconnection Networks. Topics: communication latency, centralized and decentralized switches, routing, deadlocks (Appendix E)

Lecture 12: Interconnection Networks. Topics: communication latency, centralized and decentralized switches, routing, deadlocks (Appendix E) Lecture 12: Interconnection Networks Topics: communication latency, centralized and decentralized switches, routing, deadlocks (Appendix E) 1 Topologies Internet topologies are not very regular they grew

More information

BARP-A Dynamic Routing Protocol for Balanced Distribution of Traffic in NoCs

BARP-A Dynamic Routing Protocol for Balanced Distribution of Traffic in NoCs -A Dynamic Routing Protocol for Balanced Distribution of Traffic in NoCs Pejman Lotfi-Kamran, Masoud Daneshtalab *, Caro Lucas, and Zainalabedin Navabi School of Electrical and Computer Engineering, The

More information

Network-on-Chip Micro-Benchmarks

Network-on-Chip Micro-Benchmarks Network-on-Chip Micro-Benchmarks Zhonghai Lu *, Axel Jantsch *, Erno Salminen and Cristian Grecu * Royal Institute of Technology, Sweden Tampere University of Technology, Finland Abstract University of

More information

SoC Design Lecture 13: NoC (Network-on-Chip) Department of Computer Engineering Sharif University of Technology

SoC Design Lecture 13: NoC (Network-on-Chip) Department of Computer Engineering Sharif University of Technology SoC Design Lecture 13: NoC (Network-on-Chip) Department of Computer Engineering Sharif University of Technology Outline SoC Interconnect NoC Introduction NoC layers Typical NoC Router NoC Issues Switching

More information

A Dedicated Monitoring Infrastructure For Multicore Processors

A Dedicated Monitoring Infrastructure For Multicore Processors IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, Vol. xx, No. xx, February 2010. 1 A Dedicated Monitoring Infrastructure For Multicore Processors Jia Zhao, Sailaja Madduri, Ramakrishna

More information

Index 283. F Fault model, 121 FDMA. See Frequency-division multipleaccess

Index 283. F Fault model, 121 FDMA. See Frequency-division multipleaccess Index A Active buffer window (ABW), 34 35, 37, 39, 40 Adaptive data compression, 151 172 Adaptive routing, 26, 100, 114, 116 119, 121 123, 126 128, 135 137, 139, 144, 146, 158 Adaptive voltage scaling,

More information

A Time Synchronized Wireless Sensor Tree Network using SimpliciTI

A Time Synchronized Wireless Sensor Tree Network using SimpliciTI International Journal of Computer and Communication Engineering, Vol., No. 5, September 03 A Time Synchronized Wireless Sensor Tree Network using SimpliciTI Vaibhav Pratap Singh, Nitin Chandrachoodan,

More information

Artificial Neural Network Based Prediction Mechanism for Wireless Network on Chips Medium Access Control

Artificial Neural Network Based Prediction Mechanism for Wireless Network on Chips Medium Access Control Rochester Institute of Technology RIT Scholar Works Theses Thesis/Dissertation Collections 4-2017 Artificial Neural Network Based Prediction Mechanism for Wireless Network on Chips Medium Access Control

More information

An Energy-Efficient Reconfigurable NoC Architecture with RF-Interconnects

An Energy-Efficient Reconfigurable NoC Architecture with RF-Interconnects 2013 16th Euromicro Conference on Digital System Design An Energy-Efficient Reconfigurable NoC Architecture with RF-Interconnects Majed ValadBeigi, Farshad Safaei and Bahareh Pourshirazi Faculty of ECE,

More information

Module 17: "Interconnection Networks" Lecture 37: "Introduction to Routers" Interconnection Networks. Fundamentals. Latency and bandwidth

Module 17: Interconnection Networks Lecture 37: Introduction to Routers Interconnection Networks. Fundamentals. Latency and bandwidth Interconnection Networks Fundamentals Latency and bandwidth Router architecture Coherence protocol and routing [From Chapter 10 of Culler, Singh, Gupta] file:///e /parallel_com_arch/lecture37/37_1.htm[6/13/2012

More information

Delay Analysis of ML-MAC Algorithm For Wireless Sensor Networks

Delay Analysis of ML-MAC Algorithm For Wireless Sensor Networks Delay Analysis of ML-MAC Algorithm For Wireless Sensor Networks Madhusmita Nandi School of Electronics Engineering, KIIT University Bhubaneswar-751024, Odisha, India ABSTRACT The present work is to evaluate

More information

On Packet Switched Networks for On-Chip Communication

On Packet Switched Networks for On-Chip Communication On Packet Switched Networks for On-Chip Communication Embedded Systems Group Department of Electronics and Computer Engineering School of Engineering, Jönköping University Jönköping 1 Outline : Part 1

More information

Switching/Flow Control Overview. Interconnection Networks: Flow Control and Microarchitecture. Packets. Switching.

Switching/Flow Control Overview. Interconnection Networks: Flow Control and Microarchitecture. Packets. Switching. Switching/Flow Control Overview Interconnection Networks: Flow Control and Microarchitecture Topology: determines connectivity of network Routing: determines paths through network Flow Control: determine

More information

ISSN Vol.04,Issue.01, January-2016, Pages:

ISSN Vol.04,Issue.01, January-2016, Pages: WWW.IJITECH.ORG ISSN 2321-8665 Vol.04,Issue.01, January-2016, Pages:0077-0082 Implementation of Data Encoding and Decoding Techniques for Energy Consumption Reduction in NoC GORANTLA CHAITHANYA 1, VENKATA

More information

A Survey of Techniques for Power Aware On-Chip Networks.

A Survey of Techniques for Power Aware On-Chip Networks. A Survey of Techniques for Power Aware On-Chip Networks. Samir Chopra Ji Young Park May 2, 2005 1. Introduction On-chip networks have been proposed as a solution for challenges from process technology

More information