Index 283. F Fault model, 121 FDMA. See Frequency-division multipleaccess

Size: px
Start display at page:

Download "Index 283. F Fault model, 121 FDMA. See Frequency-division multipleaccess"

Transcription

1 Index A Active buffer window (ABW), 34 35, 37, 39, 40 Adaptive data compression, Adaptive routing, 26, 100, 114, , , , , 139, 144, 146, 158 Adaptive voltage scaling, schemes, 46, 54 systems, 62, 64 Advanced extensible interface (AXI) bus, 179 Allocation, 7, 9, 23, 155, 169, 185, 186, 188, , 258, 277 Amplitude-shift-keying (ASK), , 271 Application latency, 176, 177 Application specific channel dependency graph (ASCDG), 124, , 133 Application specific domain, 135 Application specific routing, 122 Application specific routing algorithms (APSRA), Arbiters, 22, 23, 28, 89, , 106, 158, 163, 188, 189, 235, 237 Arbitration, 7 13, 15, 17, 18, 76, 100, 101, 144, 145, 189, , 240, 243, 244, 248, 250, 278 unit, 100, 101 waveguide, 236, 237 ASCDG. See Application specific channel dependency graph ASK. See Amplitude-shift-keying Asymptotic zero-transition coding, Asynchronous arbitration, 76 Asynchronous circuits, 73 Asynchronous communication, Asynchronous controller, 103 Asynchronous design, 73 75, 78, 89, 100, 103, 106 Asynchronous design flow, 102 Asynchronous interface, 76 Asynchronous link, 75, 97, 103 Asynchronous logic, 76 Asynchronous pipeline, 92 Asynchronous router, 97, 102 Asynchronous signalling, Asynchronous techniques, 75 B Bandwidth requirement, 114, 153, 177, 179, 183, 214, 216 Baseband, , Benchmarks, 31, 32, 37, 38, 152, 163, 165, 168, 169, 201, 211, 215, 216, , Best effort network, 97 Bimodal-length distribution, 155 Binary-phase-shift-keying (BPSK), 259, 265 Biput channel, 81 Bisection bandwidth, 16, 224, 248, 257 Bit-flips, 121 Bottleneck, 97, 224, 256 BPSK. See Binary-phase-shift-keying Broadcast, 4, 76, 153, 229, 236, 237, 239, 242, 243, 278 Buffering, 7, 182, 246 Bundled-data encoding, 87 Bus, 4 6, 9, 19, 46 49, 54, 60, 72, 76, , 175, 176, 178, 179, 190, 197, 201, 226, 237, 240, 248, 261, Bus compression, 152, 153 Bus-Expander, 153 Bus-invert coding, 47,

2 282 Index C Cache capacity, 152, 153 Cache coherence, 35, 37, 162, 278 Cache compression, 152, 153 CACs. See Crosstalk avoidance codes Cacti, 162, 242 CAM. See Content-addressable memory CAM cells, 170 CDG. See Channel dependency graph C-elements, 82, 84 Channel bandwidth, 157, 225, 238, 265 Channel dependency, 127, 129 Channel dependency graph (CDG), 123, 124, , 147 Chip multi-processor (CMP), 34 37, 39, 151, 152, 154, 155, 158, , , 172, , , 261, 265, 272, 277 Circuit-switched data transfer, 7, 10, 13 Circuit-switched network, 4, 7, 9, 10, 15, 19, 96 Circuit-switching, 116, 200, 265 Clock distribution, 52, 97, 243 domains, gating, 21, 24, 25 skew, 52, 73, 203, 210, 217 Clocked domains, 96, 98, 106 Clockless design, 74 Cluster regions, 132 CMOS nanophotonic, CMP. See Chip multi-processor CMP simulator, 39, 162 Coarse grained power gating, 26 Coarse wavelength division multiplexing (CWDM), 227, 228 Coherence message, 155, 243 Common-mode noise reduction, 50 Communication based partitioning, 212 Communication graph, , , 147, 206, 211, 212 Completion detection, 78, 82 84, 86, 87, 90, 91 Compressed packet, 156, 169, 170 Compression technique, 125, 132, 133, 145, 146, 164, 166 Concurrent flows, 121 Congested channels, 116 Content-addressable memory (CAM), 154, 158, 170, 171 Contention-free latency, 157 Contention-look-ahead routing, 119 Control overhead, 121 Corona architecture, Credit-based flow control, 155 Critical path, 9, 73, 92, 169, 207, 214 Crossbar, 10, 23, 52, 100, 144, 145, 155, 163, 207, , switch, 22, 189 Crosstalk avoidance codes (CACs), 48 Crosstalk capacitance, 73 Current mode signaling, 46, 52 CWDM. See Coarse wavelength division multiplexing D Data compression, consistency, 152 encoding technique, 75 flow control scheme, 75 propagation, 96 tokens, 92 validity, 77, 82 Datalink layer techniques, Deadlock free routing, 114, 115, 118, 120, 122, 126, 147 Deadlocks, 10, 37, 100, , , 131, 147, 182 Decoding latency, 162 Decoding table, 152, 156, 158, 159, 161, 166, 168, 170, 171 Delay-insensitive circuits, 73 Delay insensitive codes, 82 Delay variability, 73 Dense wavelength division multiplexing (DWDM), 227, 228, 230, 236, 240, Deterministic routing, 100, , 136, 138, 139, 144, 146, 158, 171 Dictionary, 164 Die stacking, 226, 231 Die-to-die, 73, 246 Differential signaling, 50 3D integration, 201, 202, 216 3D interconnects, 201 Direct-mapped cache, 158 Distributed routing, 7, 116 3D manufacturing process, 204 2D mesh, 7, 8, 10, 120, 121, 131, , 179, 182, 183, 226, 248 3D packaging, 239 3D stacking, 201, 245, 270 Dual-rail channel, 83 Dual-rail codes, 82, 92 Dual-rail logic, 82

3 Index 283 Dual-supply voltage design (dual-v DD ), 51 Dual-threashold voltage design (dual-v T ), 51 Dual-voltage buffers, 51 DVFS. See Dynamic voltage and frequency scaling DWDM. See Dense wavelength division multiplexing Dynamic energy, 49, 171, 193 Dynamic power, 24 26, 121, 162, 163, 178, 183, 192, 193, 212, 213, 215, 251 Dynamic voltage and frequency scaling (DVFS), 21, 25, 26, 201 E Early ejection, 100 Early wakeup methods, 22, 28, 31, 32, 35, 37, 39 Electrical to optical conversion (EO), 223 Electro-magnetic wave (EM), 258, 259, 264 Electro-optic modulators, 228 Encoded index, Encoding latency, 157, 162 Encoding methods, 73 Encoding status, 157 Encoding table, 152, 156, , End-to-end latency/delay, 183, 192, 194 Energy-efficient link design, 45 Energy model, 121 Error resilience, 75 Express cube, 171 F Fault model, 121 FDMA. See Frequency-division multipleaccess Field programmable resource array (FPRA), 114 FIFO buffers, 23, 24, 35, 116, 144, 145 Fine-grained power gating, 22, 26 31, 34 37, First-come first-serve (FCFS) scheduler, 158 Flit assembly, 157 Flit fragmentation, Flit sequence identifier, 157 Flit size, 36, 155, 156, 186 Floorplan, 201, 202, , 210, 213, 214 Flow control, 10, 12, 75, 77, 115, 155, 156, 188, 236, 250 FPC. See Frequent pattern compression FPRA. See Field programmable resource array Frequency converter, 202, 203, , Frequency-division multiple-access (FDMA), Frequency synchronizer, 203, 212 Frequent pattern compression (FPC), 153, 164 Frequent value coding (FV), Full-swing wires, 232, 233 Full system simulator, 247 G GALS. See Globally asynchronous locally synchronous Gate-level model, 121 General execution-driven multiprocessor simulator (GEMS), 36, 162 General purpose domain, 135 Global clock, 72, 73, 96, 97 Globally asynchronous locally synchronous (GALS), 52, 76, 95, 98, evolution, 96 Global wires, 59, 60, 156, 163, 201, 224, 225, 233 Gray code, 48, 49 Guaranteed service network, 97 4G wireless modem, 176 H Hamming distance, 90 Handshake interconnect, 74 Handshake interface, 74 Handshake protocol, 52, 76, 80, 88, 103 Header flit, 23, 24, 117, 119, 137, 188, 213 Head of line (HOL) blocking, 23, 155 Heterogeneous NoC, 6, 7, 256 Heterogeneous systems, 3, 256 Hierarchical NoC, 6, 7, 202 High-radix router, 162, 163, 168 High-radix topology, 152 History-based voltage scaling, 53 Homogeneous tiles, 155 Hop count, 116, 157, 166, 168, 184 Hot-spot regions, 116 Huffman encoding, 164 Hybrid network, 7, 19 Hypercube topology, 118 I Index size, 156 In-order delivery, 158 Input channel, 32, 33, 123, 131 Intelligent router, 152

4 284 Index Intermediate wires, 224, 225 Inter-wire capacitance, 163 Intra-die variations, 45, 73 Irregular networks, 120 ITRS, 224, 230, 239, 248, 257, 258, 273 J Jamb latch synchronizer, 99 L Lasers, 228, 229, 231, 233, 243, 246, 248 Latency constraints, 176, 180, 183, 185, 186, 194, 206, , 215 hiding techniques, 171 jitter, 122 requirement, 27, 178, 179, 183, 185, 192 Leakage current, 22, 26, 29, 60, 64, 233 Leakage power, 21, 22, 25 28, 31, 34, 35, 39 41, 50, 60, 61, 75, 163, 199, 200, 215, 220, 232, 248, 251 LEDR. See Level-encoded dual-rail LETS. See Level-encoded transition-signal Level converter, 49, 51 Level-encoded dual-rail (LEDR), 86, 91, 92 Level-encoded transition-signal (LETS), 86, 91, 92 Level shifter, 57, 63 Level signalling, 79 Link bandwidth, 24, 114, 142, 192 capacity, 178, 182, , controller, 75 model, 59, 78 power, 50 52, 60, 163, 168, 217 swing voltage, 46, 49, 53, 54, 57, 60, 66 Local wires, 224 Logical partitioning, , 216 Logic synthesis, , 176 Long wires, 92, 93, 251, 278 Lookahead methods, 34, 58, 64, 66 Lookahead routing, 155 Lookahead transmitter, Low-radix router, 163 Low swing drivers, 49, 233 Low swing interconnect, 54, 267 Low swing receivers, 49 Low swing signaling, 49 50, 265 Low swing techniques, 49 Low swing wires, 232, 233 LZW compression, 164 M Manhattan distance, 157 Mapping, 103, 122, 135, , , 188, function, , 128 Maximum bandwidth, 136, 179, 206 Mean time between failures (MTBF), 99 Mesh, 2 8, 10, 15, 33, 36, 52, 53, 102, 121, 125, , 152, 154, 155, 162, 163, 171, 183, 224, 226, , 257, topology, 114, 118, 120, 131, 140, 142, 144, 147, 179, 182, 256, 278 Mesochronous synchronizer, 97, 219 Metal resources, 152, 156 Metal wires, 78, 276 Min-cut partitioning, 207, 208 Minimal routing, 117, 124, 131 Modulator, , 236, 244, 246, 266, 269 Module mapping, 176, 196 Multiband communications, 260, 262 Multiband RF-interconnect, 256, , 263, 268 Multicast addressing, 76 Multicast support, 259 Multicore processors, 7, 227, 239 Multi-flit packet, 169 Multiple writer single reader (MWSR), Multi-threaded program, 164 Multi-voltage system, 58 Mutual exclusion (MUTEX) element, 90, 101, 102 N Nanophotonic NOC, 225, 229, 252 Network parameters, 36, 168 simulator, 162, 247, 248 status information, 117, 144 Network interface (NI), 33, 34, 42, 96, 119, , 162, 170, 203, 205, 207, 240, 242 N -of- M code, 84 Non-homogeneous topology, 119 Non-minimal routing, 119 Nonput channel, 81 O Oblivious routing, Odd-even routing, 139, Off-chip memory controller, 152

5 Index of-N encoding, 83, 92 On-chip bandwidth, 224, 227 On-chip cache, 152 One-hot encoding, 47, 83, 92 On-off keying (OOK), 228, 229 Operand isolation, 21, 24, 25 Operating frequency, 4, 25, 39, 40, 202, 205, 207, 211, 216, 217 Operating voltages, 58, 59, 63, 202, 234 Optical arbitration, Optical barrier, Optical communication, 225, 226, 230, 231, 244, 246 Optical data transmission, 227 Optical interconnect, , , 240, 245, Optical layer, 231 Optical NoC, 225, 226 Optical to electrical (OE) conversion, 234 Optical token channel, 237 Optical transmission, 225 Orion, 162 Output channel, 32, 117, 119, 123, 131 P Packet latency, 114, , 243, 272 length, 157, 164, 238 width, 189 Packet injection rate (pir), 136, 137, 139, Packet-switched arbitration, 7 9, 15 Packet-switched network, 3 19, 96, 100 Parallel link, Pausable clocking, 98 Payload size, 154 Peak performance, 26 Peak power, 17, 47, 60 Petrify, Petri nets, 71, 77, 78, 88, 93, 94, 102, 103 Phase encoding, Phase handshake, 80, 92 4-Phase handshake, 80, 82 2-Phase signalling, 88 Physical channels, 22, 23, 27, 28, 40, 163, 227 Pipeline bus, 4 Pir. See Packet injection rate Place & route, 179, 182, 193 PLL, 26, 262, 265 Point-to-point latency/delay, 178, 183 Point-to-point link, 72, 100 Power domains, 26 32, 34, 35, 37, 40, 41 gating, 21 42, 75, 200 management, 22, 26, 28 model, 163 Private table scheme, , 171 Processing element (PE), 154, 155, , 170, 171, 231 Pull channel, 81, 88 Pulsed transmission, Pulse signalling, 88 Push channel, 81, 82, 87, 88 Q Quality of service, 97, 177 Quasi-resonant interconnect, 52 R Race conditions, 73 RAM cells, 170 Reliability, 53, 54, 58, 65, 91, 234 Repeater insertion, 46, 49, 50, 52, 66 Replacement policy, 158, 164, 165 Request message, 155 Response message, 155 Return-to-zero (RTZ), 74, 76, 80 Ring channel, 76, 85 topology, 4 Round-robin priority, 10, 12, 13 Routers architecture, 22 25, 40, 115, , 146, delay, 157 energy, 121, 157 Routing algorithm, 97, 100, , 158, 171, 182, 225 Routing function, , 123, 124, , Routing logic, 100, , 145 Routing scheme, 7, 115, 119 Routing table, 23, 115, 116, 119, 125, 128, , 144, 145, 147 Routing table compression, , 145 RTZ. See Return-to-zero Run-time power management, 22 Run-tme power gating, S Safety margins, 53, 73, 87 Saturation point, 137, 139, 141, 142

6 286 Index Segmented-based routing, 118 Segmented bus, 5, 179 Selection function, , 144 policy, 117, 137, 139, 144 strategy, 117, 119 Self-synchronous protocol, 89 Self-timed FIFO, 76 Self-timed interface, 76, 103 Self-timed router, 76 Self-timed system, 76 Serialization latency, 157 Serial link, Shared bus, 4, 76, 175 Shared memory system, 155 Shared table management, 161 Shared table scheme, 152, 158, 160, 166, 168, 171, 172 Shutdown mechanism, 202 Signal integrity, 226 Signalling schemes, 72, 80, 88 Signal pulses, 79 Signal to noise ratio (SNR), 259, 264, 265 Signal transition graphs (STG), Silicon photonics, 227, 228 Simics, 36, 162 Single event upsets (SEU), Single-flit packet, 164, 169 Single-threaded program, 164 Single-track signalling, 88 Single transition codes, 86 Single writer multiple reader (SWMR), 235, 236 Skewed repeaters, 50 Sleep transistors, 200 Slot generation circuit, 11 Smart routing, 118 SNUCA-CMP, 154, 155, , , 172 Soft-error tollerance, 71 Source routing, 23, 115, 119 Speculative switch allocation, 155 Speed-independent circuits, 73 Sperner encoding, 76 SPLASH-2, 31, 32, 37, 38, 247, 249, 250 Standby power, 25, 27 Static energy, 171, 193, 234 Static power, 22, 52, 75, 121, 183, 193 STG. See Signal transition graphs Streaming circuits, 15, 18 Supply voltage, 7, 18, 25, 26, 45, 46, 49, 51, 53, 54, 64, 256 Switch controller, 100 Switching activity, 16, 24, 25, 121, 168, 211 Switching fabric, 100 Switching power, 14, 21 Switching technique, 115, 144 SWMR. See Single writer multiple reader Synchronizers, 97 99, 106, 212, 219 Synchronous design, 91, 102, 203, 217 Synchronous island, 98 Synchronous logic, 73 Synchronous pipeline, 92 Syntax-driven design, 102, 103 T Table-based data compression, 153, 154, 172 Table-based routing, 147 Table management protocol, 160, 172 Task mapping phase, 122 Thermal noise, 264, 265, 278 Throughput jitter, 122 Through silicon vias (TSVs), 204, 210, 211, 220, 231, 239, 246 TILED-CMP, 155, , , 172 Timing requirements, 64, 176, 179, , 185, 186, 192 Token based approach, 73 Token-based protocol, 76 Token channel, 237, 238, 242 Token slot, 237, 238 Topology graph, , 128, 133 synthesis, 201, 205 Topology-agnostic routing, 118 Torus, 5 topology, 224 Total capacity, Transceiver architecture, 264, 278 Transition detection, Transition detection circuit, 54 56, 58 Transition signalling, 79 Trasmission-lines (TLs), 256, , , 272, TRIMOSBUS, 76 TSVs. See Through silicon vias Two inverter link driver, 60 V Validity bit, 78 Value locality, 153, 160 Value locality buffer (VLB), 161, 166, 170 Value size, 156, 170 Virtual channels (VCs), 5, 27 37, 39 41, 100, 118, 121, 136, 155, 162, 163, 169, 184, router, 22 25

7 Index 287 Virtual cut-through, 116 Voltage control circuits, 55 Voltage islands (VIs), W Wakeup control methods, Wakeup control network, 33 Wakeup latency, 22, 26 32, 34, 35, Wakeup signals, 33, 34, 37 Waveguides, , 233, 236, , 243, 244, 246, 252 Wavelenght division multiplexing (WDM), 226 Wide channels, 152, 163 Wire capacitance (c w ), 163, 225 Wire delay (T w ), 34, 37, 74, 88, 92, 157, 163, 201, 232, 233 Wire-substrate capacitance (c s ), 163 Wormhole router, 22, 116, 248 switching, , 136, 144, 155, 157, 182, 186 Worst-case design, 53 X XY routing, 122, 139

Phastlane: A Rapid Transit Optical Routing Network

Phastlane: A Rapid Transit Optical Routing Network Phastlane: A Rapid Transit Optical Routing Network Mark Cianchetti, Joseph Kerekes, and David Albonesi Computer Systems Laboratory Cornell University The Interconnect Bottleneck Future processors: tens

More information

Achieving Lightweight Multicast in Asynchronous Networks-on-Chip Using Local Speculation

Achieving Lightweight Multicast in Asynchronous Networks-on-Chip Using Local Speculation Achieving Lightweight Multicast in Asynchronous Networks-on-Chip Using Local Speculation Kshitij Bhardwaj Dept. of Computer Science Columbia University Steven M. Nowick 2016 ACM/IEEE Design Automation

More information

Network-on-Chip Architecture

Network-on-Chip Architecture Multiple Processor Systems(CMPE-655) Network-on-Chip Architecture Performance aspect and Firefly network architecture By Siva Shankar Chandrasekaran and SreeGowri Shankar Agenda (Enhancing performance)

More information

Brief Background in Fiber Optics

Brief Background in Fiber Optics The Future of Photonics in Upcoming Processors ECE 4750 Fall 08 Brief Background in Fiber Optics Light can travel down an optical fiber if it is completely confined Determined by Snells Law Various modes

More information

Hybrid On-chip Data Networks. Gilbert Hendry Keren Bergman. Lightwave Research Lab. Columbia University

Hybrid On-chip Data Networks. Gilbert Hendry Keren Bergman. Lightwave Research Lab. Columbia University Hybrid On-chip Data Networks Gilbert Hendry Keren Bergman Lightwave Research Lab Columbia University Chip-Scale Interconnection Networks Chip multi-processors create need for high performance interconnects

More information

Lecture 12: Interconnection Networks. Topics: communication latency, centralized and decentralized switches, routing, deadlocks (Appendix E)

Lecture 12: Interconnection Networks. Topics: communication latency, centralized and decentralized switches, routing, deadlocks (Appendix E) Lecture 12: Interconnection Networks Topics: communication latency, centralized and decentralized switches, routing, deadlocks (Appendix E) 1 Topologies Internet topologies are not very regular they grew

More information

Network on Chip Architecture: An Overview

Network on Chip Architecture: An Overview Network on Chip Architecture: An Overview Md Shahriar Shamim & Naseef Mansoor 12/5/2014 1 Overview Introduction Multi core chip Challenges Network on Chip Architecture Regular Topology Irregular Topology

More information

Lecture: Interconnection Networks

Lecture: Interconnection Networks Lecture: Interconnection Networks Topics: Router microarchitecture, topologies Final exam next Tuesday: same rules as the first midterm 1 Packets/Flits A message is broken into multiple packets (each packet

More information

Module 17: "Interconnection Networks" Lecture 37: "Introduction to Routers" Interconnection Networks. Fundamentals. Latency and bandwidth

Module 17: Interconnection Networks Lecture 37: Introduction to Routers Interconnection Networks. Fundamentals. Latency and bandwidth Interconnection Networks Fundamentals Latency and bandwidth Router architecture Coherence protocol and routing [From Chapter 10 of Culler, Singh, Gupta] file:///e /parallel_com_arch/lecture37/37_1.htm[6/13/2012

More information

Lecture 13: Interconnection Networks. Topics: lots of background, recent innovations for power and performance

Lecture 13: Interconnection Networks. Topics: lots of background, recent innovations for power and performance Lecture 13: Interconnection Networks Topics: lots of background, recent innovations for power and performance 1 Interconnection Networks Recall: fully connected network, arrays/rings, meshes/tori, trees,

More information

4. Networks. in parallel computers. Advances in Computer Architecture

4. Networks. in parallel computers. Advances in Computer Architecture 4. Networks in parallel computers Advances in Computer Architecture System architectures for parallel computers Control organization Single Instruction stream Multiple Data stream (SIMD) All processors

More information

Basic Low Level Concepts

Basic Low Level Concepts Course Outline Basic Low Level Concepts Case Studies Operation through multiple switches: Topologies & Routing v Direct, indirect, regular, irregular Formal models and analysis for deadlock and livelock

More information

Lecture 16: On-Chip Networks. Topics: Cache networks, NoC basics

Lecture 16: On-Chip Networks. Topics: Cache networks, NoC basics Lecture 16: On-Chip Networks Topics: Cache networks, NoC basics 1 Traditional Networks Huh et al. ICS 05, Beckmann MICRO 04 Example designs for contiguous L2 cache regions 2 Explorations for Optimality

More information

A VERIOG-HDL IMPLEMENTATION OF VIRTUAL CHANNELS IN A NETWORK-ON-CHIP ROUTER. A Thesis SUNGHO PARK

A VERIOG-HDL IMPLEMENTATION OF VIRTUAL CHANNELS IN A NETWORK-ON-CHIP ROUTER. A Thesis SUNGHO PARK A VERIOG-HDL IMPLEMENTATION OF VIRTUAL CHANNELS IN A NETWORK-ON-CHIP ROUTER A Thesis by SUNGHO PARK Submitted to the Office of Graduate Studies of Texas A&M University in partial fulfillment of the requirements

More information

FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC)

FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC) FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC) D.Udhayasheela, pg student [Communication system],dept.ofece,,as-salam engineering and technology, N.MageshwariAssistant Professor

More information

Asynchronous Spatial Division Multiplexing Router

Asynchronous Spatial Division Multiplexing Router Asynchronous Spatial Division Multiplexing Router Wei Song and Doug Edwards School of Computer Science, the University of Manchester, Oxford Road, Manchester M13 9PL UK Abstract Asynchronous quasi-delay-insensitive

More information

IITD OPTICAL STACK : LAYERED ARCHITECTURE FOR PHOTONIC INTERCONNECTS

IITD OPTICAL STACK : LAYERED ARCHITECTURE FOR PHOTONIC INTERCONNECTS SRISHTI PHOTONICS RESEARCH GROUP INDIAN INSTITUTE OF TECHNOLOGY, DELHI 1 IITD OPTICAL STACK : LAYERED ARCHITECTURE FOR PHOTONIC INTERCONNECTS Authors: Janib ul Bashir and Smruti R. Sarangi Indian Institute

More information

FCUDA-NoC: A Scalable and Efficient Network-on-Chip Implementation for the CUDA-to-FPGA Flow

FCUDA-NoC: A Scalable and Efficient Network-on-Chip Implementation for the CUDA-to-FPGA Flow FCUDA-NoC: A Scalable and Efficient Network-on-Chip Implementation for the CUDA-to-FPGA Flow Abstract: High-level synthesis (HLS) of data-parallel input languages, such as the Compute Unified Device Architecture

More information

High Performance Interconnect and NoC Router Design

High Performance Interconnect and NoC Router Design High Performance Interconnect and NoC Router Design Brinda M M.E Student, Dept. of ECE (VLSI Design) K.Ramakrishnan College of Technology Samayapuram, Trichy 621 112 brinda18th@gmail.com Devipoonguzhali

More information

TDT Appendix E Interconnection Networks

TDT Appendix E Interconnection Networks TDT 4260 Appendix E Interconnection Networks Review Advantages of a snooping coherency protocol? Disadvantages of a snooping coherency protocol? Advantages of a directory coherency protocol? Disadvantages

More information

Dynamic Packet Fragmentation for Increased Virtual Channel Utilization in On-Chip Routers

Dynamic Packet Fragmentation for Increased Virtual Channel Utilization in On-Chip Routers Dynamic Packet Fragmentation for Increased Virtual Channel Utilization in On-Chip Routers Young Hoon Kang, Taek-Jun Kwon, and Jeff Draper {youngkan, tjkwon, draper}@isi.edu University of Southern California

More information

Real Time NoC Based Pipelined Architectonics With Efficient TDM Schema

Real Time NoC Based Pipelined Architectonics With Efficient TDM Schema Real Time NoC Based Pipelined Architectonics With Efficient TDM Schema [1] Laila A, [2] Ajeesh R V [1] PG Student [VLSI & ES] [2] Assistant professor, Department of ECE, TKM Institute of Technology, Kollam

More information

Interconnection Networks

Interconnection Networks Lecture 17: Interconnection Networks Parallel Computer Architecture and Programming A comment on web site comments It is okay to make a comment on a slide/topic that has already been commented on. In fact

More information

CCNoC: Specializing On-Chip Interconnects for Energy Efficiency in Cache-Coherent Servers

CCNoC: Specializing On-Chip Interconnects for Energy Efficiency in Cache-Coherent Servers CCNoC: Specializing On-Chip Interconnects for Energy Efficiency in Cache-Coherent Servers Stavros Volos, Ciprian Seiculescu, Boris Grot, Naser Khosro Pour, Babak Falsafi, and Giovanni De Micheli Toward

More information

SoC Design Lecture 13: NoC (Network-on-Chip) Department of Computer Engineering Sharif University of Technology

SoC Design Lecture 13: NoC (Network-on-Chip) Department of Computer Engineering Sharif University of Technology SoC Design Lecture 13: NoC (Network-on-Chip) Department of Computer Engineering Sharif University of Technology Outline SoC Interconnect NoC Introduction NoC layers Typical NoC Router NoC Issues Switching

More information

Pseudo-Circuit: Accelerating Communication for On-Chip Interconnection Networks

Pseudo-Circuit: Accelerating Communication for On-Chip Interconnection Networks Department of Computer Science and Engineering, Texas A&M University Technical eport #2010-3-1 seudo-circuit: Accelerating Communication for On-Chip Interconnection Networks Minseon Ahn, Eun Jung Kim Department

More information

Networks: Routing, Deadlock, Flow Control, Switch Design, Case Studies. Admin

Networks: Routing, Deadlock, Flow Control, Switch Design, Case Studies. Admin Networks: Routing, Deadlock, Flow Control, Switch Design, Case Studies Alvin R. Lebeck CPS 220 Admin Homework #5 Due Dec 3 Projects Final (yes it will be cumulative) CPS 220 2 1 Review: Terms Network characterized

More information

NOC: Networks on Chip SoC Interconnection Structures

NOC: Networks on Chip SoC Interconnection Structures NOC: Networks on Chip SoC Interconnection Structures COE838: Systems-on-Chip Design http://www.ee.ryerson.ca/~courses/coe838/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer Engineering

More information

Interconnection Networks

Interconnection Networks Lecture 18: Interconnection Networks Parallel Computer Architecture and Programming CMU 15-418/15-618, Spring 2015 Credit: many of these slides were created by Michael Papamichael This lecture is partially

More information

OPAL: A Multi-Layer Hybrid Photonic NoC for 3D ICs

OPAL: A Multi-Layer Hybrid Photonic NoC for 3D ICs OPAL: A Multi-Layer Hybrid Photonic NoC for 3D ICs 4B-1 Sudeep Pasricha, Shirish Bahirat Colorado State University, Fort Collins, CO {sudeep, shirish.bahirat}@colostate.edu Abstract - Three-dimensional

More information

Future of Interconnect Fabric A Contrarian View. Shekhar Borkar June 13, 2010 Intel Corp. 1

Future of Interconnect Fabric A Contrarian View. Shekhar Borkar June 13, 2010 Intel Corp. 1 Future of Interconnect Fabric A ontrarian View Shekhar Borkar June 13, 2010 Intel orp. 1 Outline Evolution of interconnect fabric On die network challenges Some simple contrarian proposals Evaluation and

More information

Flow Control can be viewed as a problem of

Flow Control can be viewed as a problem of NOC Flow Control 1 Flow Control Flow Control determines how the resources of a network, such as channel bandwidth and buffer capacity are allocated to packets traversing a network Goal is to use resources

More information

Joint consideration of performance, reliability and fault tolerance in regular Networks-on-Chip via multiple spatially-independent interface terminals

Joint consideration of performance, reliability and fault tolerance in regular Networks-on-Chip via multiple spatially-independent interface terminals Joint consideration of performance, reliability and fault tolerance in regular Networks-on-Chip via multiple spatially-independent interface terminals Philipp Gorski, Tim Wegner, Dirk Timmermann University

More information

HANDSHAKE AND CIRCULATION FLOW CONTROL IN NANOPHOTONIC INTERCONNECTS

HANDSHAKE AND CIRCULATION FLOW CONTROL IN NANOPHOTONIC INTERCONNECTS HANDSHAKE AND CIRCULATION FLOW CONTROL IN NANOPHOTONIC INTERCONNECTS A Thesis by JAGADISH CHANDAR JAYABALAN Submitted to the Office of Graduate Studies of Texas A&M University in partial fulfillment of

More information

3D WiNoC Architectures

3D WiNoC Architectures Interconnect Enhances Architecture: Evolution of Wireless NoC from Planar to 3D 3D WiNoC Architectures Hiroki Matsutani Keio University, Japan Sep 18th, 2014 Hiroki Matsutani, "3D WiNoC Architectures",

More information

udirec: Unified Diagnosis and Reconfiguration for Frugal Bypass of NoC Faults

udirec: Unified Diagnosis and Reconfiguration for Frugal Bypass of NoC Faults 1/45 1/22 MICRO-46, 9 th December- 213 Davis, California udirec: Unified Diagnosis and Reconfiguration for Frugal Bypass of NoC Faults Ritesh Parikh and Valeria Bertacco Electrical Engineering & Computer

More information

Lecture 22: Router Design

Lecture 22: Router Design Lecture 22: Router Design Papers: Power-Driven Design of Router Microarchitectures in On-Chip Networks, MICRO 03, Princeton A Gracefully Degrading and Energy-Efficient Modular Router Architecture for On-Chip

More information

INTERCONNECTION NETWORKS LECTURE 4

INTERCONNECTION NETWORKS LECTURE 4 INTERCONNECTION NETWORKS LECTURE 4 DR. SAMMAN H. AMEEN 1 Topology Specifies way switches are wired Affects routing, reliability, throughput, latency, building ease Routing How does a message get from source

More information

Lecture 3: Flow-Control

Lecture 3: Flow-Control High-Performance On-Chip Interconnects for Emerging SoCs http://tusharkrishna.ece.gatech.edu/teaching/nocs_acaces17/ ACACES Summer School 2017 Lecture 3: Flow-Control Tushar Krishna Assistant Professor

More information

Fault Tolerant and Secure Architectures for On Chip Networks With Emerging Interconnect Technologies. Mohsin Y Ahmed Conlan Wesson

Fault Tolerant and Secure Architectures for On Chip Networks With Emerging Interconnect Technologies. Mohsin Y Ahmed Conlan Wesson Fault Tolerant and Secure Architectures for On Chip Networks With Emerging Interconnect Technologies Mohsin Y Ahmed Conlan Wesson Overview NoC: Future generation of many core processor on a single chip

More information

CHAPTER 3 ASYNCHRONOUS PIPELINE CONTROLLER

CHAPTER 3 ASYNCHRONOUS PIPELINE CONTROLLER 84 CHAPTER 3 ASYNCHRONOUS PIPELINE CONTROLLER 3.1 INTRODUCTION The introduction of several new asynchronous designs which provides high throughput and low latency is the significance of this chapter. The

More information

ACCELERATING COMMUNICATION IN ON-CHIP INTERCONNECTION NETWORKS. A Dissertation MIN SEON AHN

ACCELERATING COMMUNICATION IN ON-CHIP INTERCONNECTION NETWORKS. A Dissertation MIN SEON AHN ACCELERATING COMMUNICATION IN ON-CHIP INTERCONNECTION NETWORKS A Dissertation by MIN SEON AHN Submitted to the Office of Graduate Studies of Texas A&M University in partial fulfillment of the requirements

More information

TIMA Lab. Research Reports

TIMA Lab. Research Reports ISSN 1292-862 TIMA Lab. Research Reports TIMA Laboratory, 46 avenue Félix Viallet, 38000 Grenoble France Session 1.2 - Hop Topics for SoC Design Asynchronous System Design Prof. Marc RENAUDIN TIMA, Grenoble,

More information

Meet in the Middle: Leveraging Optical Interconnection Opportunities in Chip Multi Processors

Meet in the Middle: Leveraging Optical Interconnection Opportunities in Chip Multi Processors Meet in the Middle: Leveraging Optical Interconnection Opportunities in Chip Multi Processors Sandro Bartolini* Department of Information Engineering, University of Siena, Italy bartolini@dii.unisi.it

More information

Interconnect Technology and Computational Speed

Interconnect Technology and Computational Speed Interconnect Technology and Computational Speed From Chapter 1 of B. Wilkinson et al., PARAL- LEL PROGRAMMING. Techniques and Applications Using Networked Workstations and Parallel Computers, augmented

More information

Extending the Performance of Hybrid NoCs beyond the Limitations of Network Heterogeneity

Extending the Performance of Hybrid NoCs beyond the Limitations of Network Heterogeneity Journal of Low Power Electronics and Applications Article Extending the Performance of Hybrid NoCs beyond the Limitations of Network Heterogeneity Michael Opoku Agyeman 1, *, Wen Zong 2, Alex Yakovlev

More information

Physical Implementation of the DSPIN Network-on-Chip in the FAUST Architecture

Physical Implementation of the DSPIN Network-on-Chip in the FAUST Architecture 1 Physical Implementation of the DSPI etwork-on-chip in the FAUST Architecture Ivan Miro-Panades 1,2,3, Fabien Clermidy 3, Pascal Vivet 3, Alain Greiner 1 1 The University of Pierre et Marie Curie, Paris,

More information

Lecture 18: Communication Models and Architectures: Interconnection Networks

Lecture 18: Communication Models and Architectures: Interconnection Networks Design & Co-design of Embedded Systems Lecture 18: Communication Models and Architectures: Interconnection Networks Sharif University of Technology Computer Engineering g Dept. Winter-Spring 2008 Mehdi

More information

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions 04/15/14 1 Introduction: Low Power Technology Process Hardware Architecture Software Multi VTH Low-power circuits Parallelism

More information

MULTIPROCESSORS. Characteristics of Multiprocessors. Interconnection Structures. Interprocessor Arbitration

MULTIPROCESSORS. Characteristics of Multiprocessors. Interconnection Structures. Interprocessor Arbitration MULTIPROCESSORS Characteristics of Multiprocessors Interconnection Structures Interprocessor Arbitration Interprocessor Communication and Synchronization Cache Coherence 2 Characteristics of Multiprocessors

More information

FUTURE high-performance computers (HPCs) and data. Runtime Management of Laser Power in Silicon-Photonic Multibus NoC Architecture

FUTURE high-performance computers (HPCs) and data. Runtime Management of Laser Power in Silicon-Photonic Multibus NoC Architecture Runtime Management of Laser Power in Silicon-Photonic Multibus NoC Architecture Chao Chen, Student Member, IEEE, and Ajay Joshi, Member, IEEE (Invited Paper) Abstract Silicon-photonic links have been proposed

More information

Dynamic Reconfiguration of 3D Photonic Networks-on-Chip for Maximizing Performance and Improving Fault Tolerance

Dynamic Reconfiguration of 3D Photonic Networks-on-Chip for Maximizing Performance and Improving Fault Tolerance IEEE/ACM 45th Annual International Symposium on Microarchitecture Dynamic Reconfiguration of D Photonic Networks-on-Chip for Maximizing Performance and Improving Fault Tolerance Randy Morris, Avinash Karanth

More information

1. NoCs: What s the point?

1. NoCs: What s the point? 1. Nos: What s the point? What is the role of networks-on-chip in future many-core systems? What topologies are most promising for performance? What about for energy scaling? How heavily utilized are Nos

More information

Interconnection Networks: Topology. Prof. Natalie Enright Jerger

Interconnection Networks: Topology. Prof. Natalie Enright Jerger Interconnection Networks: Topology Prof. Natalie Enright Jerger Topology Overview Definition: determines arrangement of channels and nodes in network Analogous to road map Often first step in network design

More information

JUNCTION BASED ROUTING: A NOVEL TECHNIQUE FOR LARGE NETWORK ON CHIP PLATFORMS

JUNCTION BASED ROUTING: A NOVEL TECHNIQUE FOR LARGE NETWORK ON CHIP PLATFORMS 1 JUNCTION BASED ROUTING: A NOVEL TECHNIQUE FOR LARGE NETWORK ON CHIP PLATFORMS Shabnam Badri THESIS WORK 2011 ELECTRONICS JUNCTION BASED ROUTING: A NOVEL TECHNIQUE FOR LARGE NETWORK ON CHIP PLATFORMS

More information

Prediction Router: Yet another low-latency on-chip router architecture

Prediction Router: Yet another low-latency on-chip router architecture Prediction Router: Yet another low-latency on-chip router architecture Hiroki Matsutani Michihiro Koibuchi Hideharu Amano Tsutomu Yoshinaga (Keio Univ., Japan) (NII, Japan) (Keio Univ., Japan) (UEC, Japan)

More information

Embedded Systems: Hardware Components (part II) Todor Stefanov

Embedded Systems: Hardware Components (part II) Todor Stefanov Embedded Systems: Hardware Components (part II) Todor Stefanov Leiden Embedded Research Center, Leiden Institute of Advanced Computer Science Leiden University, The Netherlands Outline Generic Embedded

More information

CS252 Graduate Computer Architecture Lecture 14. Multiprocessor Networks March 9 th, 2011

CS252 Graduate Computer Architecture Lecture 14. Multiprocessor Networks March 9 th, 2011 CS252 Graduate Computer Architecture Lecture 14 Multiprocessor Networks March 9 th, 2011 John Kubiatowicz Electrical Engineering and Computer Sciences University of California, Berkeley http://www.eecs.berkeley.edu/~kubitron/cs252

More information

Part IV: 3D WiNoC Architectures

Part IV: 3D WiNoC Architectures Wireless NoC as Interconnection Backbone for Multicore Chips: Promises, Challenges, and Recent Developments Part IV: 3D WiNoC Architectures Hiroki Matsutani Keio University, Japan 1 Outline: 3D WiNoC Architectures

More information

NoC Test-Chip Project: Working Document

NoC Test-Chip Project: Working Document NoC Test-Chip Project: Working Document Michele Petracca, Omar Ahmad, Young Jin Yoon, Frank Zovko, Luca Carloni and Kenneth Shepard I. INTRODUCTION This document describes the low-power high-performance

More information

Thomas Moscibroda Microsoft Research. Onur Mutlu CMU

Thomas Moscibroda Microsoft Research. Onur Mutlu CMU Thomas Moscibroda Microsoft Research Onur Mutlu CMU CPU+L1 CPU+L1 CPU+L1 CPU+L1 Multi-core Chip Cache -Bank Cache -Bank Cache -Bank Cache -Bank CPU+L1 CPU+L1 CPU+L1 CPU+L1 Accelerator, etc Cache -Bank

More information

A Multilayer Nanophotonic Interconnection Network for On-Chip Many-core Communications

A Multilayer Nanophotonic Interconnection Network for On-Chip Many-core Communications A Multilayer Nanophotonic Interconnection Network for On-Chip Many-core Communications Xiang Zhang and Ahmed Louri Department of Electrical and Computer Engineering, The University of Arizona 1230 E Speedway

More information

Lecture 24: Interconnection Networks. Topics: topologies, routing, deadlocks, flow control

Lecture 24: Interconnection Networks. Topics: topologies, routing, deadlocks, flow control Lecture 24: Interconnection Networks Topics: topologies, routing, deadlocks, flow control 1 Topology Examples Grid Torus Hypercube Criteria Bus Ring 2Dtorus 6-cube Fully connected Performance Bisection

More information

ReNoC: A Network-on-Chip Architecture with Reconfigurable Topology

ReNoC: A Network-on-Chip Architecture with Reconfigurable Topology 1 ReNoC: A Network-on-Chip Architecture with Reconfigurable Topology Mikkel B. Stensgaard and Jens Sparsø Technical University of Denmark Technical University of Denmark Outline 2 Motivation ReNoC Basic

More information

CHAPTER 6 FPGA IMPLEMENTATION OF ARBITERS ALGORITHM FOR NETWORK-ON-CHIP

CHAPTER 6 FPGA IMPLEMENTATION OF ARBITERS ALGORITHM FOR NETWORK-ON-CHIP 133 CHAPTER 6 FPGA IMPLEMENTATION OF ARBITERS ALGORITHM FOR NETWORK-ON-CHIP 6.1 INTRODUCTION As the era of a billion transistors on a one chip approaches, a lot of Processing Elements (PEs) could be located

More information

Design and Implementation of Low Complexity Router for 2D Mesh Topology using FPGA

Design and Implementation of Low Complexity Router for 2D Mesh Topology using FPGA Design and Implementation of Low Complexity Router for 2D Mesh Topology using FPGA Maheswari Murali * and Seetharaman Gopalakrishnan # * Assistant professor, J. J. College of Engineering and Technology,

More information

DSENT A Tool Connecting Emerging Photonics with Electronics for Opto- Electronic Networks-on-Chip Modeling Chen Sun

DSENT A Tool Connecting Emerging Photonics with Electronics for Opto- Electronic Networks-on-Chip Modeling Chen Sun A Tool Connecting Emerging Photonics with Electronics for Opto- Electronic Networks-on-Chip Modeling Chen Sun In collaboration with: Chia-Hsin Owen Chen George Kurian Lan Wei Jason Miller Jurgen Michel

More information

Architectures. A thesis presented to. the faculty of. In partial fulfillment. of the requirements for the degree.

Architectures. A thesis presented to. the faculty of. In partial fulfillment. of the requirements for the degree. Dynamic Bandwidth and Laser Scaling for CPU-GPU Heterogenous Network-on-Chip Architectures A thesis presented to the faculty of the Russ College of Engineering and Technology of Ohio University In partial

More information

826 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 33, NO. 6, JUNE 2014

826 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 33, NO. 6, JUNE 2014 826 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 33, NO. 6, JUNE 2014 LumiNOC: A Power-Efficient, High-Performance, Photonic Network-on-Chip Cheng Li, Student Member,

More information

A Composite and Scalable Cache Coherence Protocol for Large Scale CMPs

A Composite and Scalable Cache Coherence Protocol for Large Scale CMPs A Composite and Scalable Cache Coherence Protocol for Large Scale CMPs Yi Xu, Yu Du, Youtao Zhang, Jun Yang Department of Electrical and Computer Engineering Department of Computer Science University of

More information

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem.

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem. The VLSI Interconnect Challenge Avinoam Kolodny Electrical Engineering Department Technion Israel Institute of Technology VLSI Challenges System complexity Performance Tolerance to digital noise and faults

More information

OASIS NoC Architecture Design in Verilog HDL Technical Report: TR OASIS

OASIS NoC Architecture Design in Verilog HDL Technical Report: TR OASIS OASIS NoC Architecture Design in Verilog HDL Technical Report: TR-062010-OASIS Written by Kenichi Mori ASL-Ben Abdallah Group Graduate School of Computer Science and Engineering The University of Aizu

More information

WITH THE CONTINUED advance of Moore s law, ever

WITH THE CONTINUED advance of Moore s law, ever IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 30, NO. 11, NOVEMBER 2011 1663 Asynchronous Bypass Channels for Multi-Synchronous NoCs: A Router Microarchitecture, Topology,

More information

International Journal of Advanced Research in Computer Science and Software Engineering

International Journal of Advanced Research in Computer Science and Software Engineering Volume 2, Issue 9, September 2012 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com A Heterogeneous

More information

Design of a System-on-Chip Switched Network and its Design Support Λ

Design of a System-on-Chip Switched Network and its Design Support Λ Design of a System-on-Chip Switched Network and its Design Support Λ Daniel Wiklund y, Dake Liu Dept. of Electrical Engineering Linköping University S-581 83 Linköping, Sweden Abstract As the degree of

More information

Lecture 15: PCM, Networks. Today: PCM wrap-up, projects discussion, on-chip networks background

Lecture 15: PCM, Networks. Today: PCM wrap-up, projects discussion, on-chip networks background Lecture 15: PCM, Networks Today: PCM wrap-up, projects discussion, on-chip networks background 1 Hard Error Tolerance in PCM PCM cells will eventually fail; important to cause gradual capacity degradation

More information

Parallel Architectures

Parallel Architectures Parallel Architectures Part 1: The rise of parallel machines Intel Core i7 4 CPU cores 2 hardware thread per core (8 cores ) Lab Cluster Intel Xeon 4/10/16/18 CPU cores 2 hardware thread per core (8/20/32/36

More information

OpenSMART: Single-cycle Multi-hop NoC Generator in BSV and Chisel

OpenSMART: Single-cycle Multi-hop NoC Generator in BSV and Chisel OpenSMART: Single-cycle Multi-hop NoC Generator in BSV and Chisel Hyoukjun Kwon and Tushar Krishna Georgia Institute of Technology Synergy Lab (http://synergy.ece.gatech.edu) hyoukjun@gatech.edu April

More information

NetSpeed ORION: A New Approach to Design On-chip Interconnects. August 26 th, 2013

NetSpeed ORION: A New Approach to Design On-chip Interconnects. August 26 th, 2013 NetSpeed ORION: A New Approach to Design On-chip Interconnects August 26 th, 2013 INTERCONNECTS BECOMING INCREASINGLY IMPORTANT Growing number of IP cores Average SoCs today have 100+ IPs Mixing and matching

More information

Analyzing the Effectiveness of On-chip Photonic Interconnects with a Hybrid Photo-electrical Topology

Analyzing the Effectiveness of On-chip Photonic Interconnects with a Hybrid Photo-electrical Topology Analyzing the Effectiveness of On-chip Photonic Interconnects with a Hybrid Photo-electrical Topology Yong-jin Kwon Department of EECS, University of California, Berkeley, CA Abstract To improve performance

More information

EE382C Lecture 1. Bill Dally 3/29/11. EE 382C - S11 - Lecture 1 1

EE382C Lecture 1. Bill Dally 3/29/11. EE 382C - S11 - Lecture 1 1 EE382C Lecture 1 Bill Dally 3/29/11 EE 382C - S11 - Lecture 1 1 Logistics Handouts Course policy sheet Course schedule Assignments Homework Research Paper Project Midterm EE 382C - S11 - Lecture 1 2 What

More information

Future Gigascale MCSoCs Applications: Computation & Communication Orthogonalization

Future Gigascale MCSoCs Applications: Computation & Communication Orthogonalization Basic Network-on-Chip (BANC) interconnection for Future Gigascale MCSoCs Applications: Computation & Communication Orthogonalization Abderazek Ben Abdallah, Masahiro Sowa Graduate School of Information

More information

Network-on-chip (NOC) Topologies

Network-on-chip (NOC) Topologies Network-on-chip (NOC) Topologies 1 Network Topology Static arrangement of channels and nodes in an interconnection network The roads over which packets travel Topology chosen based on cost and performance

More information

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 An Inter/Intra-Chip Optical Network for Manycore Processors Xiaowen Wu, Student Member, IEEE, JiangXu,Member, IEEE, Yaoyao Ye, Student

More information

Interconnection Network

Interconnection Network Interconnection Network Recap: Generic Parallel Architecture A generic modern multiprocessor Network Mem Communication assist (CA) $ P Node: processor(s), memory system, plus communication assist Network

More information

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 14: Photonic Interconnect

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 14: Photonic Interconnect 1 EECS 598: Integrating Emerging Technologies with Computer Architecture Lecture 14: Photonic Interconnect Instructor: Ron Dreslinski Winter 2016 1 1 Announcements 2 Remaining lecture schedule 3/15: Photonics

More information

Multi-level Fault Tolerance in 2D and 3D Networks-on-Chip

Multi-level Fault Tolerance in 2D and 3D Networks-on-Chip Multi-level Fault Tolerance in 2D and 3D Networks-on-Chip Claudia usu Vladimir Pasca Lorena Anghel TIMA Laboratory Grenoble, France Outline Introduction Link Level outing Level Application Level Conclusions

More information

ECE 551 System on Chip Design

ECE 551 System on Chip Design ECE 551 System on Chip Design Introducing Bus Communications Garrett S. Rose Fall 2018 Emerging Applications Requirements Data Flow vs. Processing µp µp Mem Bus DRAMC Core 2 Core N Main Bus µp Core 1 SoCs

More information

PERFORMANCE EVALUATION OF WIRELESS NETWORKS ON CHIP JYUN-LYANG CHANG

PERFORMANCE EVALUATION OF WIRELESS NETWORKS ON CHIP JYUN-LYANG CHANG PERFORMANCE EVALUATION OF WIRELESS NETWORKS ON CHIP By JYUN-LYANG CHANG A thesis submitted in partial fulfillment of the requirements for the degree of MASTER OF SCIENCE IN ELECTRICAL ENGINEERING WASHINGTON

More information

Low-Power Interconnection Networks

Low-Power Interconnection Networks Low-Power Interconnection Networks Li-Shiuan Peh Associate Professor EECS, CSAIL & MTL MIT 1 Moore s Law: Double the number of transistors on chip every 2 years 1970: Clock speed: 108kHz No. transistors:

More information

Challenges for Future Interconnection Networks Hot Interconnects Panel August 24, Dennis Abts Sr. Principal Engineer

Challenges for Future Interconnection Networks Hot Interconnects Panel August 24, Dennis Abts Sr. Principal Engineer Challenges for Future Interconnection Networks Hot Interconnects Panel August 24, 2006 Sr. Principal Engineer Panel Questions How do we build scalable networks that balance power, reliability and performance

More information

A thesis presented to. the faculty of. In partial fulfillment. of the requirements for the degree. Master of Science. Yixuan Zhang.

A thesis presented to. the faculty of. In partial fulfillment. of the requirements for the degree. Master of Science. Yixuan Zhang. High-Performance Crossbar Designs for Network-on-Chips (NoCs) A thesis presented to the faculty of the Russ College of Engineering and Technology of Ohio University In partial fulfillment of the requirements

More information

CMSC 611: Advanced. Interconnection Networks

CMSC 611: Advanced. Interconnection Networks CMSC 611: Advanced Computer Architecture Interconnection Networks Interconnection Networks Massively parallel processor networks (MPP) Thousands of nodes Short distance (

More information

A Dedicated Monitoring Infrastructure For Multicore Processors

A Dedicated Monitoring Infrastructure For Multicore Processors IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, Vol. xx, No. xx, February 2010. 1 A Dedicated Monitoring Infrastructure For Multicore Processors Jia Zhao, Sailaja Madduri, Ramakrishna

More information

Power and Performance Efficient Partial Circuits in Packet-Switched Networks-on-Chip

Power and Performance Efficient Partial Circuits in Packet-Switched Networks-on-Chip 2013 21st Euromicro International Conference on Parallel, Distributed, and Network-Based Processing Power and Performance Efficient Partial Circuits in Packet-Switched Networks-on-Chip Nasibeh Teimouri

More information

Routing Algorithm. How do I know where a packet should go? Topology does NOT determine routing (e.g., many paths through torus)

Routing Algorithm. How do I know where a packet should go? Topology does NOT determine routing (e.g., many paths through torus) Routing Algorithm How do I know where a packet should go? Topology does NOT determine routing (e.g., many paths through torus) Many routing algorithms exist 1) Arithmetic 2) Source-based 3) Table lookup

More information

EECS 570 Final Exam - SOLUTIONS Winter 2015

EECS 570 Final Exam - SOLUTIONS Winter 2015 EECS 570 Final Exam - SOLUTIONS Winter 2015 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: # Points 1 / 21 2 / 32

More information

A Survey of Techniques for Power Aware On-Chip Networks.

A Survey of Techniques for Power Aware On-Chip Networks. A Survey of Techniques for Power Aware On-Chip Networks. Samir Chopra Ji Young Park May 2, 2005 1. Introduction On-chip networks have been proposed as a solution for challenges from process technology

More information

Design and Test Solutions for Networks-on-Chip. Jin-Ho Ahn Hoseo University

Design and Test Solutions for Networks-on-Chip. Jin-Ho Ahn Hoseo University Design and Test Solutions for Networks-on-Chip Jin-Ho Ahn Hoseo University Topics Introduction NoC Basics NoC-elated esearch Topics NoC Design Procedure Case Studies of eal Applications NoC-Based SoC Testing

More information

CS/COE1541: Intro. to Computer Architecture

CS/COE1541: Intro. to Computer Architecture CS/COE1541: Intro. to Computer Architecture Multiprocessors Sangyeun Cho Computer Science Department Tilera TILE64 IBM BlueGene/L nvidia GPGPU Intel Core 2 Duo 2 Why multiprocessors? For improved latency

More information