Physical Design Closure

Size: px
Start display at page:

Download "Physical Design Closure"

Transcription

1 Physical Design Closure Olivier Coudert Monterey Design System DAC 2000 DAC2000 (C) Monterey Design Systems 1 DSM Dilemma SOC Time to market Million gates High density, larger die Higher clock speeds Long wires Project management Re-use, IPs Larger database Larger design space Need abstraction levels to manage complexity Abstraction Accuracy DSM Higher resistance Higher crosscoupling Non-linear timing Power Electromigration IR Drop Inductances etc... Require detailed analyses to understand physical interactions DAC2000 (C) Monterey Design Systems 2 1

2 Top 10 Impediments to Design Closure Strong placement/timing dependency Timing/congestion interaction Timing signoff Signal integrity Power design Problem size Computational resources Clock design Modeling accuracy Marketing hype DAC2000 (C) Monterey Design Systems 3 Top 10 Impediments to Design Closure Strong placement/timing dependency Timing/congestion interaction Timing signoff Signal integrity Power design Problem size Computational resources Clock design Modeling accuracy Marketing hype DAC2000 (C) Monterey Design Systems 4 2

3 Timing & Placement Interconnect dominance makes DSM netlist signoff difficult Wireload models were ALWAYS inaccurate Post-synthesis signoff was possible when interconnect contributed ~20% of the total capacitance But now the interconnect-c is becoming dominant over the total-c with each new process generation Wire Cap. (ff/um) DAC2000 (C) Monterey Design Systems 5 Long-Wire Problems For DSM designs the metal resistance further complicates timing prediction and closure for the global wires Average long-wire length is not scaling with new technologies since the systems are becoming bigger Local wires Occurrence Rate (Normalized) Global wires ~0.5 wire length die size DAC2000 (C) Monterey Design Systems 6 3

4 Top 10 Impediments to Design Closure Strong placement/timing dependency Timing/congestion interaction Timing signoff Signal integrity Power design Problem size Computational resources Clock design Modeling accuracy Marketing hype DAC2000 (C) Monterey Design Systems 7 Placement Quadratic placement fast restricted cost function, e.g., timing driven placement mimicked with net weighting Simulated annealing open cost function extremely slow Force directed semi-open cost function slower than quadratic placement tuning more difficult Bisection (mincut + partitioning) open cost function slower than quadratic placement DAC2000 (C) Monterey Design Systems 8 4

5 Netlist Clustering Start placement by building a hierarchical tree of cell-clusters from the netlist (hmetis DAC 97) A key to optimal placement is to optimize the size and locations of these clusters Both functional hierarchy and netlist topology need to be considered Netlist A B C D E F DAC2000 (C) Monterey Design Systems 9 Placement The clusters are sized and placed within partitions and among megacells Long wires are modeled among partitions, and congestion is approximated within partitions Initially, congestion is dominated by local wires Early wireplanning for long wires will not work DAC2000 (C) Monterey Design Systems 10 5

6 Placement This process continues to smaller clusters and smaller partitions Long wires are not planned, but are placed probabilistically in terms of where the router is likely to want to route them DAC2000 (C) Monterey Design Systems 11 Placement This process continues to smaller clusters and smaller partitions Long wires are not planned, but are placed probabilistically in terms of where the router is likely to want to route them DAC2000 (C) Monterey Design Systems 12 6

7 Placement This process continues to smaller clusters and smaller partitions Long wires are not planned, but are placed probabilistically in terms of where the router is likely to want to route them DAC2000 (C) Monterey Design Systems 13 Placement One eventually reaches a cluster and partition size for which timing and congestion are predictable Timing signoff can be done at this level ONLY! DAC2000 (C) Monterey Design Systems 14 7

8 Top 10 Impediments to Design Closure Strong placement/timing dependency Timing/congestion interaction Timing signoff Signal integrity Power design Problem size Computational resources Clock design Modeling accuracy Marketing hype DAC2000 (C) Monterey Design Systems 15 Placement Cells are non uniformily distributed into bins Dynamic whitespace allocation addresses congestion at the global level DAC2000 (C) Monterey Design Systems 16 8

9 Placement Cells are nonuniformily distributed at subfloorplan level Dynamic whitespace allocation addresses congestion at the global level Inter- and intra-partition congestion is predictable at this placement level DAC2000 (C) Monterey Design Systems 17 Non-Uniform Whitespace Mgmt. Example of whitespace allocation after timing driven placement and optimization White Space addedto relieve congestion White Space addedto relieve congestion White Space removed to help relieve congestion in other areas Movement of cells for timing optimization DAC2000 (C) Monterey Design Systems 18 9

10 Placement The placement algorithm generality and common database provide for the front-to-back logic optimization, control of wiring, etc These same features provide for powerful ECO capabilities too Netlist can be adjusted via API at all levels of the placement progression Design progress can be viewed and manipulated at every placement level DAC2000 (C) Monterey Design Systems 19 Top 10 Impediments to Design Closure Strong placement/timing dependency Timing/congestion interaction Timing signoff Signal integrity Power design Problem size Computational resources Clock design Modeling accuracy Marketing hype DAC2000 (C) Monterey Design Systems 20 10

11 Timing Prediction As the routing models become more precise, so do the timing predictions for the long wires The timing/delay models and analyses are only as precise as the physical information New metrics provide excellent correlation from front-end to backend DAC2000 (C) Monterey Design Systems 21 Timing Prediction As the routing models become more precise, so do the timing predictions for the long wires The timing/delay models and analyses are only as precise as the physical information New metrics provide excellent correlation from front-end to backend Intra-partition wiring delays are accurately predicted at this partition size too DAC2000 (C) Monterey Design Systems 22 11

12 Timing Optimization The first tech mapping was an approximation, since the wiring capacitances were not known With sufficient physical information at the placement level, we begin timing optimization Buffers are inserted for shielding, delay and attenuation DAC2000 (C) Monterey Design Systems 23 Timing Optimization Buffers are added only when it is determined that they will not have to be removed Global routing is used to place the buffers and inverters Long wires are seeded by buffers Long wire design is driven by accurate physical information DAC2000 (C) Monterey Design Systems 24 12

13 Top 10 Impediments to Design Closure Strong placement/timing dependency Timing/congestion interaction Timing signoff Signal integrity Power design Problem size Computational resources Clock design Modeling accuracy Marketing hype DAC2000 (C) Monterey Design Systems 25 Logic Optimization Analytical approaches Assume continuous size Fast Map a continuous solution onto a discrete library Use oversimplified models (e.g., Elmore delay) Refinement approaches Can use complex and/or discrete models Can mix a wide range of transformations Slower Strategy/control more difficult DAC2000 (C) Monterey Design Systems 26 13

14 Logic Optimization Placement provides enough physical information to accurately buffer, resize, remap, resynthesize, etc. Yet design is still abstract enough for global exploration E.g.: Logic optimization for global congestion relief Placement is coarse enough that resizing in one region does not require cells to be moved in another More effective than completing a placement, feeding back custom wireload models, and iterating DAC2000 (C) Monterey Design Systems 27 Logic Optimization Buffering targets slope fixing and timing Several algorithm, slack, delay, and slope driven Critical path Shielding buffer for timing optimization 4 5 Buffering can help in reducing congestion too DAC2000 (C) Monterey Design Systems 28 14

15 Logic Optimization More aggressive for critical paths, e.g., logic collapsing and decomposition, logic duplication and logic sharing, logic remapping, logic resynthesis 1 path path 2 both paths 1 & 2 are critical DAC2000 (C) Monterey Design Systems 29 Logic Optimization The generality of the placement algorithm allows logic optimization to continue throughout the flow No net constraints Continual monitoring of what is critical Includes simple logic restructuring for congestion relief: DAC2000 (C) Monterey Design Systems 30 15

16 Top 10 Impediments to Design Closure Strong placement/timing dependency Timing/congestion interaction Timing signoff Signal integrity Power design Problem size Computational resources Clock design Modeling accuracy Marketing hype DAC2000 (C) Monterey Design Systems 31 Clock Distribution Most clock tree synthesis algorithms attempt to build the clock tree post -placement This is too late congestion could disturb timing closure But you can t build it too early, since you don t know where the latches are Synthesis Floorplanning Placement Clock Tree Generation Clock Routing DAC2000 (C) Monterey Design Systems 32 16

17 Clock Distribution The placement should provide enough information to know the distribution of latches, but should be abstract enough to avoid being trapped by congestion caused by the clock wiring DAC2000 (C) Monterey Design Systems 33 Clock Distribution First clock tree is created with the clock pins distribution A complete buffered/gated tree can be automatically synthesized The user has the option to instantiate the top portions of a tree based on the distribution of latches and flipflops DAC2000 (C) Monterey Design Systems 34 17

18 Clock Distribution This clock tree congestion is used to predict the overall congestion, since the latch distribution will not change substantially from this point forward As the lower portions of the clock tree continue to grow, the top levels of the tree take root The top levels will continue to adjust slightly as the placement and optimization processes continue DAC2000 (C) Monterey Design Systems 35 Clock Distribution Accurate timing projections enable useful skew methods to be applied at this level Placement is still coarse enough so that objects with commonskew targets can be grouped DAC2000 (C) Monterey Design Systems 36 18

19 Top 10 Impediments to Design Closure Strong placement/timing dependency Timing/congestion interaction Timing signoff Signal integrity Power design Problem size Computational resources Clock design Modeling accuracy Marketing hype DAC2000 (C) Monterey Design Systems 37 Power/Ground Distribution The placement also provides sufficient information to judge the quality and integrity of the power/ground network Power/ground network can have a huge impact on congestion Power rail currents will not change much as the placement is refined Yet there is enough space to add/widen stripes API driven adjustment using incremental IR-drop analyses Ultimately this optimization process can be automated DAC2000 (C) Monterey Design Systems 38 19

20 Power/Ground Distribution Eventually automation process will have to consider more detailed analysis too: Inductance of chip and packaging Resonance frequencies via ac analyses On-chip decoupling DAC2000 (C) Monterey Design Systems 39 Top 10 Impediments to Design Closure Strong placement/timing dependency Timing/congestion interaction Timing signoff Signal integrity Power design Problem size Computational resources Clock design Modeling accuracy Marketing hype DAC2000 (C) Monterey Design Systems 40 20

21 Model refinement Once the quadrisection level s results are acceptable, we proceed with a similar partition-based placement strategy The cost function includes timing, area, congestion, power, and eventually xtalk and signal integrity There are no timing constraints fed forward! Logic optimization, buffering, whitespace allocation, etc., all continue on a more local scale DAC2000 (C) Monterey Design Systems 41 Design Closure Transformation scale Model accuracy System RTL Synthesis Place Route Logic opt. Extraction & delay calculation Timing Final static timing analysis time global/estimate local/accurate Continuity and correlation are keys! DAC2000 (C) Monterey Design Systems 42 21

22 Top 10 Impediments to Design Closure Strong placement/timing dependency Timing/congestion interaction Timing signoff Signal integrity Power design Problem size Computational resources Clock design Modeling accuracy Marketing hype DAC2000 (C) Monterey Design Systems 43 Pre-DSM Design Flow RTL custom WLM Synthesis Placement Clock Tree statistical WLM timing library logical domain physical domain (SDF, RC s) Netlist Signoff Routing Extraction Delay Calculation Static Timing Analysis DAC2000 (C) Monterey Design Systems 44 22

23 DSM Design Signoff No physical information at that level Timing, congestion, clock, etc, predictable at that level Timing RTL Synthesis + opt. floorplan Remap Route Place Physical implementation Delay Calculation Static Timing Analysis DAC2000 (C) Monterey Design Systems 45 DSM Design Signoff No physical information at that level Timing, congestion, clock, etc, predictable at that level Timing RTL Synthesis + opt. floorplan Remap Route Place Design signoff can only be done when DSM timing & congestion can be properly estimated: physical prototype level Physical implementation Delay Calculation Static Timing Analysis DAC2000 (C) Monterey Design Systems 46 23

24 Top 10 Impediments to Design Closure Strong placement/timing dependency Timing/congestion interaction Timing signoff Signal integrity Power design Problem size Computational resources Clock design Modeling accuracy Marketing hype DAC2000 (C) Monterey Design Systems 47 Routing Requirements for the DSM Router: N-layer shape-based router Supports gridless and gridded routing Variable wire width for optimal delay constraints Cross-talk avoidance, antenna effects Clock tree sizing for tree balancing Power routing sizing for voltage drop and electromigration DAC2000 (C) Monterey Design Systems 48 24

25 Routing Correlation Global routing can utilize the whitespace to avoid long-distance couplings for critical nets Extra spacing, shielding, or space for rip-up and reroute No surprises for the detailed router after GR Shape-based gridless area router Timing and xtalk aware Spacing wires nonuniformily within and among layers is handled without loss of generality Router capabilities are also critical for delay optimization and satisfying reliability constraints DAC2000 (C) Monterey Design Systems 49 Crosstalk Fact: the same layer coupling capacitance is beginning to dominate the total net capacitance Makes cross-talk a dominant factor in achieving timing closure Coupling vs. Inter-layer capacitance Cc/Cs Source: 1998 Update, International Technology Roadmap for Semiconductors DAC2000 (C) Monterey Design Systems 50 25

26 Crosstalk Neighboring-net switching can cause DR surprises Trying to solve this problem at DR is far too late! Passing constraints to Detailed Routing to avoid routing certain nets in parallel is easy, but DR is already overconstrained! The right way is to attack the xtalk problem starting at the proper placement level DAC2000 (C) Monterey Design Systems 51 Crosstalk Delay Impact Simply modeling the coupling capacitance as grounded capacitance scaled by ~2x is overly pessimistic Timer should model early and late arrival times at all nodes (for each library) so that worst/best case switching can be determined during path traversal TACO: Timing Analysis with Coupling (DAC 2000) DAC2000 (C) Monterey Design Systems 52 26

27 Electromigration During clock-tree synthesis, top level wires are automatically sized to satisfy E/M constraints Below 0.25um we expect similar constraints for signal nets Don t wait until DR to determine layer assignments or find extra space for wide wires The wire sizes and layers should be modeled at the earliest possible placement level DAC2000 (C) Monterey Design Systems 53 IR drop P = P net + P int + P leak Simulation and/or probabilistic based dynamic power evaluation Power distribution at the chip level, along with the quadrisection level Consequently power distribution can be optimized along with the other design variables DAC2000 (C) Monterey Design Systems 54 27

28 Top 10 Impediments to Design Closure Strong placement/timing dependency Timing/congestion interaction Timing signoff Signal integrity Power design Problem size Computational resources Clock design Modeling accuracy Marketing hype DAC2000 (C) Monterey Design Systems 55 Moore s Law: Tapering off? Thousands of transistors x in 2 years Merced P.Pro Pentium 2.5 years Year 28

29 Parallel Processing Parallel processing: The process of breaking a problem into multiple pieces and executing them simultaneously Speedup: Let T(n) = wall-clock time for executing the original task on n processors. Then speedup is T(1)/T(n) Speedup depends on: load balancing inter-processor communication scheduling Load balancing Objectives Evenly balance computational loads among available processors Minimize inter-processor communication This is a hard problem Load balancing is a NP-complete! The time taken to load-balance should be a fraction of the total process time 29

30 Job Scheduling 10 independent Jobs, 2 Processors p q p p p p p p p q T(p) = 1 Serial runtime: 8 * * 10 = 28 T(q) = 10 T2 T1 S(2) = Thread scheduling chart Poor scheduling is detrimental for speedup Improving Job Scheduling 10 independent Jobs, 2 Processors p q p p p p p p p q Reschedule q q p p p p p p p p T2 T1 S(2) = Thread scheduling chart Simple scheduling algorithms improve speedup 30

31 Inter-job Communication k jobs 1 2 p q q p Reducing job-communication improves scaling Partition the problem! Global Routing Global doesn t lend itself to parallelism q is a very big portion of each task q = updating of global congestion map Quality vs Speed trade of: Lazy update Multi-level partitioning... k 1 2 p q q p 31

32 Global Routing: Lazy Update Algorithm: Each parallel task gets a list of nets to be routed While routing a net, Global congestion map represents an earlier state After a while, routing stops and congestion map is updated Cons: Quality degradation Possibility of slowing convergence due to delayed congestion map Global Routing: Multi-level partitioning Algorithm: Divide routing area into partitions, at each level partitions are non-overlapping Levels could be 1x1, 2x2, 3x3, 5x

33 Global Routing: Multi-level partitioning Algorithm (cnt d): At each level, routing within partitions can be threaded. Detail Routing Detail Router optimizes local interaction of routes Localized, thus simple partition based threading scheme: Divide chip into small partitions Instantiate router on partitions in parallel Quasi-linear speed-up 33

34 Detail Routing In reality, partitions will be overlapping Better quality near partition boundaries Can not route adjacent partitions concurrently To minimize locks, need a scheduler Speedup (n=4) Global Placement Congestion modeling Static Timing Analysis (with crosstalk) Place - Logic interaction Sizing Buffering Technology mapping Clock generation Power topology construction Detailed placement Global routing (with crosstalk ) Shape-based detailed routing (with crosstalk) 34

35 Top 10 Impediments to Design Closure Strong placement/timing dependency Timing/congestion interaction Timing signoff Signal integrity Power design Problem size Computational resources Clock design Modeling accuracy Marketing hype DAC2000 (C) Monterey Design Systems 69 35

LOGICAL AND PHYSICAL DESIGN: A FLOW PERSPECTIVE

LOGICAL AND PHYSICAL DESIGN: A FLOW PERSPECTIVE Chapter 7 LOGICAL AND PHYSICAL DESIGN: A FLOW PERSPECTIVE Olivier Coudert Abstract A physical design flow consists of producing a production-worthy layout from a gate-level netlist subject to a set of

More information

Comprehensive Place-and-Route Platform Olympus-SoC

Comprehensive Place-and-Route Platform Olympus-SoC Comprehensive Place-and-Route Platform Olympus-SoC Digital IC Design D A T A S H E E T BENEFITS: Olympus-SoC is a comprehensive netlist-to-gdsii physical design implementation platform. Solving Advanced

More information

Timing and Design Closure in Physical Design Flows

Timing and Design Closure in Physical Design Flows Timing and Design Closure in Physical Design Flows Introduction A physical design flow consists of producing a productionworthy layout from a gate-level netlist subject to a set of constraints. This paper

More information

Cluster-based approach eases clock tree synthesis

Cluster-based approach eases clock tree synthesis Page 1 of 5 EE Times: Design News Cluster-based approach eases clock tree synthesis Udhaya Kumar (11/14/2005 9:00 AM EST) URL: http://www.eetimes.com/showarticle.jhtml?articleid=173601961 Clock network

More information

Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design

Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design Wei-Jin Dai, Dennis Huang, Chin-Chih Chang, Michel Courtoy Cadence Design Systems, Inc. Abstract A design methodology for the implementation

More information

Eliminating Routing Congestion Issues with Logic Synthesis

Eliminating Routing Congestion Issues with Logic Synthesis Eliminating Routing Congestion Issues with Logic Synthesis By Mike Clarke, Diego Hammerschlag, Matt Rardon, and Ankush Sood Routing congestion, which results when too many routes need to go through an

More information

An Interconnect-Centric Design Flow for Nanometer Technologies

An Interconnect-Centric Design Flow for Nanometer Technologies An Interconnect-Centric Design Flow for Nanometer Technologies Jason Cong UCLA Computer Science Department Email: cong@cs.ucla.edu Tel: 310-206-2775 URL: http://cadlab.cs.ucla.edu/~cong Exponential Device

More information

ECE260B CSE241A Winter Placement

ECE260B CSE241A Winter Placement ECE260B CSE241A Winter 2005 Placement Website: / courses/ ece260b- w05 ECE260B CSE241A Placement.1 Slides courtesy of Prof. Andrew B. Slides courtesy of Prof. Andrew B. Kahng VLSI Design Flow and Physical

More information

L14 - Placement and Routing

L14 - Placement and Routing L14 - Placement and Routing Ajay Joshi Massachusetts Institute of Technology RTL design flow HDL RTL Synthesis manual design Library/ module generators netlist Logic optimization a b 0 1 s d clk q netlist

More information

Overcoming Wireload Model Uncertainty During Physical Design

Overcoming Wireload Model Uncertainty During Physical Design Overcoming Wireload Model Uncertainty During Physical Design Padmini Gopalakrishnan, Altan Odabasioglu, Lawrence Pileggi, Salil Raje Monterey Design Systems 894 Ross Drive, Suite, Sunnyvale, CA {padmini,

More information

WHITE PAPER PARASITIC EXTRACTION FOR DEEP SUBMICRON AND ULTRA-DEEP SUBMICRON DESIGNS

WHITE PAPER PARASITIC EXTRACTION FOR DEEP SUBMICRON AND ULTRA-DEEP SUBMICRON DESIGNS WHITE PAPER PARASITIC EXTRACTION FOR DEEP SUBMICRON AND ULTRA-DEEP SUBMICRON DESIGNS TABLE OF CONTENTS Introduction.................................................................................. 1 Design

More information

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets.

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Clock Routing Problem Formulation Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Better to develop specialized routers for these nets.

More information

Topics. ! PLAs.! Memories: ! Datapaths.! Floor Planning ! ROM;! SRAM;! DRAM. Modern VLSI Design 2e: Chapter 6. Copyright 1994, 1998 Prentice Hall

Topics. ! PLAs.! Memories: ! Datapaths.! Floor Planning ! ROM;! SRAM;! DRAM. Modern VLSI Design 2e: Chapter 6. Copyright 1994, 1998 Prentice Hall Topics! PLAs.! Memories:! ROM;! SRAM;! DRAM.! Datapaths.! Floor Planning Programmable logic array (PLA)! Used to implement specialized logic functions.! A PLA decodes only some addresses (input values);

More information

Floorplan and Power/Ground Network Co-Synthesis for Fast Design Convergence

Floorplan and Power/Ground Network Co-Synthesis for Fast Design Convergence Floorplan and Power/Ground Network Co-Synthesis for Fast Design Convergence Chen-Wei Liu 12 and Yao-Wen Chang 2 1 Synopsys Taiwan Limited 2 Department of Electrical Engineering National Taiwan University,

More information

Digital Design Methodology (Revisited) Design Methodology: Big Picture

Digital Design Methodology (Revisited) Design Methodology: Big Picture Digital Design Methodology (Revisited) Design Methodology Design Specification Verification Synthesis Technology Options Full Custom VLSI Standard Cell ASIC FPGA CS 150 Fall 2005 - Lec #25 Design Methodology

More information

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 TABLE OF CONTENTS 1.0 PURPOSE... 1 2.0 INTRODUCTION... 1 3.0 ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 3.1 PRODUCT DEFINITION PHASE... 3 3.2 CHIP ARCHITECTURE PHASE... 4 3.3 MODULE AND FULL IC DESIGN PHASE...

More information

Digital Design Methodology

Digital Design Methodology Digital Design Methodology Prof. Soo-Ik Chae Digital System Designs and Practices Using Verilog HDL and FPGAs @ 2008, John Wiley 1-1 Digital Design Methodology (Added) Design Methodology Design Specification

More information

8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments

8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments 8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments QII51017-9.0.0 Introduction The Quartus II incremental compilation feature allows you to partition a design, compile partitions

More information

An Interconnect-Centric Design Flow for Nanometer. Technologies

An Interconnect-Centric Design Flow for Nanometer. Technologies An Interconnect-Centric Design Flow for Nanometer Technologies Jason Cong Department of Computer Science University of California, Los Angeles, CA 90095 Abstract As the integrated circuits (ICs) are scaled

More information

Interconnect Delay and Area Estimation for Multiple-Pin Nets

Interconnect Delay and Area Estimation for Multiple-Pin Nets Interconnect Delay and Area Estimation for Multiple-Pin Nets Jason Cong and David Z. Pan UCLA Computer Science Department Los Angeles, CA 90095 Sponsored by SRC and Avant!! under CA-MICRO Presentation

More information

SYNTHESIS FOR ADVANCED NODES

SYNTHESIS FOR ADVANCED NODES SYNTHESIS FOR ADVANCED NODES Abhijeet Chakraborty Janet Olson SYNOPSYS, INC ISPD 2012 Synopsys 2012 1 ISPD 2012 Outline Logic Synthesis Evolution Technology and Market Trends The Interconnect Challenge

More information

Concurrent, OA-based Mixed-signal Implementation

Concurrent, OA-based Mixed-signal Implementation Concurrent, OA-based Mixed-signal Implementation Mladen Nizic Eng. Director, Mixed-signal Solution 2011, Cadence Design Systems, Inc. All rights reserved worldwide. Mixed-Signal Design Challenges Traditional

More information

AccuCore SPICE Accurate Core Characterization with STA. Silvaco Japan Technology Seminar Spring 2007

AccuCore SPICE Accurate Core Characterization with STA. Silvaco Japan Technology Seminar Spring 2007 AccuCore SPICE Accurate Core Characterization with STA Silvaco Japan Technology Seminar Spring 2007 What is AccuCore? Why would I use it? AccuCore performs automatic block SPICE characterization and Static

More information

Linking Layout to Logic Synthesis: A Unification-Based Approach

Linking Layout to Logic Synthesis: A Unification-Based Approach Linking Layout to Logic Synthesis: A Unification-Based Approach Massoud Pedram Department of EE-Systems University of Southern California Los Angeles, CA February 1998 Outline Introduction Technology and

More information

An Interconnect-Centric Design Flow for Nanometer Technologies

An Interconnect-Centric Design Flow for Nanometer Technologies An Interconnect-Centric Design Flow for Nanometer Technologies Professor Jason Cong UCLA Computer Science Department Los Angeles, CA 90095 http://cadlab.cs.ucla.edu/~ /~cong

More information

An Introduction to FPGA Placement. Yonghong Xu Supervisor: Dr. Khalid

An Introduction to FPGA Placement. Yonghong Xu Supervisor: Dr. Khalid RESEARCH CENTRE FOR INTEGRATED MICROSYSTEMS UNIVERSITY OF WINDSOR An Introduction to FPGA Placement Yonghong Xu Supervisor: Dr. Khalid RESEARCH CENTRE FOR INTEGRATED MICROSYSTEMS UNIVERSITY OF WINDSOR

More information

Physical Implementation

Physical Implementation CS250 VLSI Systems Design Fall 2009 John Wawrzynek, Krste Asanovic, with John Lazzaro Physical Implementation Outline Standard cell back-end place and route tools make layout mostly automatic. However,

More information

Best Practices for Incremental Compilation Partitions and Floorplan Assignments

Best Practices for Incremental Compilation Partitions and Floorplan Assignments Best Practices for Incremental Compilation Partitions and Floorplan Assignments December 2007, ver. 1.0 Application Note 470 Introduction The Quartus II incremental compilation feature allows you to partition

More information

A Path Based Algorithm for Timing Driven. Logic Replication in FPGA

A Path Based Algorithm for Timing Driven. Logic Replication in FPGA A Path Based Algorithm for Timing Driven Logic Replication in FPGA By Giancarlo Beraudo B.S., Politecnico di Torino, Torino, 2001 THESIS Submitted as partial fulfillment of the requirements for the degree

More information

Best Practices for Implementing ARM Cortex -A12 Processor and Mali TM -T6XX GPUs for Mid-Range Mobile SoCs.

Best Practices for Implementing ARM Cortex -A12 Processor and Mali TM -T6XX GPUs for Mid-Range Mobile SoCs. Best Practices for Implementing ARM Cortex -A12 Processor and Mali TM -T6XX GPUs for Mid-Range Mobile SoCs. Cortex-A12: ARM-Cadence collaboration Joint team working on ARM Cortex -A12 irm flow irm content:

More information

EE-382M VLSI II. Early Design Planning: Front End

EE-382M VLSI II. Early Design Planning: Front End EE-382M VLSI II Early Design Planning: Front End Mark McDermott EE 382M-8 VLSI-2 Page Foil # 1 1 EDP Objectives Get designers thinking about physical implementation while doing the architecture design.

More information

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function.

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function. FPGA Logic block of an FPGA can be configured in such a way that it can provide functionality as simple as that of transistor or as complex as that of a microprocessor. It can used to implement different

More information

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering FPGA Fabrics Reference Wayne Wolf, FPGA-Based System Design Pearson Education, 2004 Logic Design Process Combinational logic networks Functionality. Other requirements: Size. Power. Primary inputs Performance.

More information

Place and Route for FPGAs

Place and Route for FPGAs Place and Route for FPGAs 1 FPGA CAD Flow Circuit description (VHDL, schematic,...) Synthesize to logic blocks Place logic blocks in FPGA Physical design Route connections between logic blocks FPGA programming

More information

Chapter 5 Global Routing

Chapter 5 Global Routing Chapter 5 Global Routing 5. Introduction 5.2 Terminology and Definitions 5.3 Optimization Goals 5. Representations of Routing Regions 5.5 The Global Routing Flow 5.6 Single-Net Routing 5.6. Rectilinear

More information

TRILOBYTE SYSTEMS. Consistent Timing Constraints with PrimeTime. Steve Golson Trilobyte Systems.

TRILOBYTE SYSTEMS. Consistent Timing Constraints with PrimeTime. Steve Golson Trilobyte Systems. TRILOBYTE SYSTEMS Consistent Timing Constraints with PrimeTime Steve Golson Trilobyte Systems http://www.trilobyte.com 2 Physical implementation Rule #1 Do not change the functionality Rule #2 Meet the

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation NTU IC541CA 1 Assumed Knowledge This lab assumes use of the Electric

More information

Cadence On-Line Document

Cadence On-Line Document Cadence On-Line Document 1 Purpose: Use Cadence On-Line Document to look up command/syntax in SoC Encounter. 2 Cadence On-Line Document An on-line searching system which can be used to inquire about LEF/DEF

More information

Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs

Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs White Paper Introduction Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs Signal integrity has become a critical issue in the design of high-speed systems. Poor signal integrity can mean

More information

Module 18: "TLP on Chip: HT/SMT and CMP" Lecture 39: "Simultaneous Multithreading and Chip-multiprocessing" TLP on Chip: HT/SMT and CMP SMT

Module 18: TLP on Chip: HT/SMT and CMP Lecture 39: Simultaneous Multithreading and Chip-multiprocessing TLP on Chip: HT/SMT and CMP SMT TLP on Chip: HT/SMT and CMP SMT Multi-threading Problems of SMT CMP Why CMP? Moore s law Power consumption? Clustered arch. ABCs of CMP Shared cache design Hierarchical MP file:///e /parallel_com_arch/lecture39/39_1.htm[6/13/2012

More information

How Much Logic Should Go in an FPGA Logic Block?

How Much Logic Should Go in an FPGA Logic Block? How Much Logic Should Go in an FPGA Logic Block? Vaughn Betz and Jonathan Rose Department of Electrical and Computer Engineering, University of Toronto Toronto, Ontario, Canada M5S 3G4 {vaughn, jayar}@eecgutorontoca

More information

ECO-system: Embracing the Change in Placement

ECO-system: Embracing the Change in Placement Motivation ECO-system: Embracing the Change in Placement Jarrod A. Roy and Igor L. Markov University of Michigan at Ann Arbor Cong and Sarrafzadeh: state-of-the-art incremental placement techniques unfocused

More information

Design is neither a form alone or function alone, it is aesthetic synthesis of the both. Ferdinand Porsche

Design is neither a form alone or function alone, it is aesthetic synthesis of the both. Ferdinand Porsche Chapter 2 FLOORPLANNING Design is neither a form alone or function alone, it is aesthetic synthesis of the both. Ferdinand Porsche Floorplanning is the art of any physical design. A well thought-out floorplan

More information

Basic Idea. The routing problem is typically solved using a twostep

Basic Idea. The routing problem is typically solved using a twostep Global Routing Basic Idea The routing problem is typically solved using a twostep approach: Global Routing Define the routing regions. Generate a tentative route for each net. Each net is assigned to a

More information

Automated Extraction of Physical Hierarchies for Performance Improvement on Programmable Logic Devices

Automated Extraction of Physical Hierarchies for Performance Improvement on Programmable Logic Devices Automated Extraction of Physical Hierarchies for Performance Improvement on Programmable Logic Devices Deshanand P. Singh Altera Corporation dsingh@altera.com Terry P. Borer Altera Corporation tborer@altera.com

More information

PrimeTime: Introduction to Static Timing Analysis Workshop

PrimeTime: Introduction to Static Timing Analysis Workshop i-1 PrimeTime: Introduction to Static Timing Analysis Workshop Synopsys Customer Education Services 2002 Synopsys, Inc. All Rights Reserved PrimeTime: Introduction to Static 34000-000-S16 Timing Analysis

More information

Hardware Design with VHDL PLDs IV ECE 443

Hardware Design with VHDL PLDs IV ECE 443 Embedded Processor Cores (Hard and Soft) Electronic design can be realized in hardware (logic gates/registers) or software (instructions executed on a microprocessor). The trade-off is determined by how

More information

VLSI Physical Design: From Graph Partitioning to Timing Closure

VLSI Physical Design: From Graph Partitioning to Timing Closure Chapter 5 Global Routing Original uthors: ndrew. Kahng, Jens, Igor L. Markov, Jin Hu Chapter 5 Global Routing 5. Introduction 5.2 Terminology and Definitions 5.3 Optimization Goals 5. Representations of

More information

Call for Participation

Call for Participation ACM International Symposium on Physical Design 2015 Blockage-Aware Detailed-Routing-Driven Placement Contest Call for Participation Start date: November 10, 2014 Registration deadline: December 30, 2014

More information

CHAPTER 1 INTRODUCTION. equipment. Almost every digital appliance, like computer, camera, music player or

CHAPTER 1 INTRODUCTION. equipment. Almost every digital appliance, like computer, camera, music player or 1 CHAPTER 1 INTRODUCTION 1.1. Overview In the modern time, integrated circuit (chip) is widely applied in the electronic equipment. Almost every digital appliance, like computer, camera, music player or

More information

Programmable Logic Devices II

Programmable Logic Devices II São José February 2015 Prof. Hoeller, Prof. Moecke (http://www.sj.ifsc.edu.br) 1 / 28 Lecture 01: Complexity Management and the Design of Complex Digital Systems Prof. Arliones Hoeller arliones.hoeller@ifsc.edu.br

More information

8D-3. Experiences of Low Power Design Implementation and Verification. Shi-Hao Chen. Jiing-Yuan Lin

8D-3. Experiences of Low Power Design Implementation and Verification. Shi-Hao Chen. Jiing-Yuan Lin Experiences of Low Power Design Implementation and Verification Shi-Hao Chen Global Unichip Corp. Hsin-Chu Science Park, Hsin-Chu, Taiwan 300 +886-3-564-6600 hockchen@globalunichip.com Jiing-Yuan Lin Global

More information

HIPEX Full-Chip Parasitic Extraction. Summer 2004 Status

HIPEX Full-Chip Parasitic Extraction. Summer 2004 Status HIPEX Full-Chip Parasitic Extraction Summer 2004 Status What is HIPEX? HIPEX Full-Chip Parasitic Extraction products perform 3D-accurate and 2D-fast extraction of parasitic capacitors and resistors from

More information

June 2003, ver. 1.2 Application Note 198

June 2003, ver. 1.2 Application Note 198 Timing Closure with the Quartus II Software June 2003, ver. 1.2 Application Note 198 Introduction With FPGA designs surpassing the multimillion-gate mark, designers need advanced tools to better address

More information

VLSI Physical Design: From Graph Partitioning to Timing Closure

VLSI Physical Design: From Graph Partitioning to Timing Closure VLSI Physical Design: From Graph Partitioning to Timing Closure Chapter 5 Global Routing Original uthors: ndrew. Kahng, Jens, Igor L. Markov, Jin Hu VLSI Physical Design: From Graph Partitioning to Timing

More information

Prerequisites for Rou4ng

Prerequisites for Rou4ng Basic Zroute Flow Prerequisites for Rou4ng Library requirements Zroute gets all of the design rule informa4on from the technology file; therefore, you must ensure that all design rules are defined in the

More information

High Quality, Low Cost Test

High Quality, Low Cost Test Datasheet High Quality, Low Cost Test Overview is a comprehensive synthesis-based test solution for compression and advanced design-for-test that addresses the cost challenges of testing complex designs.

More information

Case study of Mixed Signal Design Flow

Case study of Mixed Signal Design Flow IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 3, Ver. II (May. -Jun. 2016), PP 49-53 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Case study of Mixed Signal Design

More information

Retiming & Pipelining over Global Interconnects

Retiming & Pipelining over Global Interconnects Retiming & Pipelining over Global Interconnects Jason Cong Computer Science Department University of California, Los Angeles cong@cs.ucla.edu http://cadlab.cs.ucla.edu/~cong Joint work with C. C. Chang,

More information

CAD Technology of the SX-9

CAD Technology of the SX-9 KONNO Yoshihiro, IKAWA Yasuhiro, SAWANO Tomoki KANAMARU Keisuke, ONO Koki, KUMAZAKI Masahito Abstract This paper outlines the design techniques and CAD technology used with the SX-9. The LSI and package

More information

Lecture Content. 1 Adam Teman, 2018

Lecture Content. 1 Adam Teman, 2018 Lecture Content 1 Adam Teman, 2018 Digital VLSI Design Lecture 6: Moving to the Physical Domain Semester A, 2018-19 Lecturer: Dr. Adam Teman December 24, 2018 Disclaimer: This course was prepared, in its

More information

MAPLE: Multilevel Adaptive PLacEment for Mixed Size Designs

MAPLE: Multilevel Adaptive PLacEment for Mixed Size Designs MAPLE: Multilevel Adaptive PLacEment for Mixed Size Designs Myung Chul Kim, Natarajan Viswanathan, Charles J. Alpert, Igor L. Markov, Shyam Ramji Dept. of EECS, University of Michigan IBM Corporation 1

More information

SEMICONDUCTOR industry is beginning to question the

SEMICONDUCTOR industry is beginning to question the 644 IEEE TRANSACTIONS ON COMPONENTS AND PACKAGING TECHNOLOGIES, VOL. 29, NO. 3, SEPTEMBER 2006 Placement and Routing for 3-D System-On-Package Designs Jacob Rajkumar Minz, Student Member, IEEE, Eric Wong,

More information

Physical Synthesis Methodology for High Performance Microprocessors

Physical Synthesis Methodology for High Performance Microprocessors 41.1 Physical Synthesis Methodology for High Performance Microprocessors Yiu-Hing Chan Prabhakar Kudva Lisa Lacey Greg Northrop Thomas Rosser IBM Server Group IBM TJ Watson Research Center IBM Server Group

More information

Outline. SoC Encounter Flow. Typical Backend Design Flow. Digital IC-Project and Verification. Place and Route. Backend ASIC Design flow

Outline. SoC Encounter Flow. Typical Backend Design Flow. Digital IC-Project and Verification. Place and Route. Backend ASIC Design flow Outline Digital IC-Project and Verification Deepak Dasalukunte Backend ASIC Design flow General steps Input files Floorplanning Placement Clock-synthesis Routing Typical Backend Design Flow SoC Encounter

More information

Introduction VLSI PHYSICAL DESIGN AUTOMATION

Introduction VLSI PHYSICAL DESIGN AUTOMATION VLSI PHYSICAL DESIGN AUTOMATION PROF. INDRANIL SENGUPTA DEPARTMENT OF COMPUTER SCIENCE AND ENGINEERING Introduction Main steps in VLSI physical design 1. Partitioning and Floorplanning l 2. Placement 3.

More information

Digital VLSI Design. Lecture 9: Routing

Digital VLSI Design. Lecture 9: Routing Digital VLSI Design Lecture 9: Routing Semester A, 018-19 Lecturer: Dr. Adam Teman January 5, 019 Disclaimer: This course was prepared, in its entirety, by Adam Teman. Many materials were copied from sources

More information

Prog. Logic Devices Schematic-Based Design Flows CMPE 415. Designer could access symbols for logic gates and functions from a library.

Prog. Logic Devices Schematic-Based Design Flows CMPE 415. Designer could access symbols for logic gates and functions from a library. Schematic-Based Design Flows Early schematic-driven ASIC flow Designer could access symbols for logic gates and functions from a library. Simulator would use a corresponding library with logic functionality

More information

Introduction. A very important step in physical design cycle. It is the process of arranging a set of modules on the layout surface.

Introduction. A very important step in physical design cycle. It is the process of arranging a set of modules on the layout surface. Placement Introduction A very important step in physical design cycle. A poor placement requires larger area. Also results in performance degradation. It is the process of arranging a set of modules on

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

Section I: Introduction

Section I: Introduction Logistics E-mail: pgvillar@us.ibm.com (unable to travel) rodman@reshape.com abk@cs.ucsd.edu Website: http://vlsicad.ucsd.edu/ (. (.pdf s but probably not all.ppt s. ppt s) Tutorial end with Section V,

More information

ASIC Physical Design Top-Level Chip Layout

ASIC Physical Design Top-Level Chip Layout ASIC Physical Design Top-Level Chip Layout References: M. Smith, Application Specific Integrated Circuits, Chap. 16 Cadence Virtuoso User Manual Top-level IC design process Typically done before individual

More information

Hipex Full-Chip Parasitic Extraction

Hipex Full-Chip Parasitic Extraction What is Hipex? products perform 3D-accurate and 2D-fast extraction of parasitic capacitors and resistors from hierarchical layouts into hierarchical transistor-level netlists using nanometer process technology

More information

Package level Interconnect Options

Package level Interconnect Options Package level Interconnect Options J.Balachandran,S.Brebels,G.Carchon, W.De Raedt, B.Nauwelaers,E.Beyne imec 2005 SLIP 2005 April 2 3 Sanfrancisco,USA Challenges in Nanometer Era Integration capacity F

More information

Serial. Parallel. CIT 668: System Architecture 2/14/2011. Topics. Serial and Parallel Computation. Parallel Computing

Serial. Parallel. CIT 668: System Architecture 2/14/2011. Topics. Serial and Parallel Computation. Parallel Computing CIT 668: System Architecture Parallel Computing Topics 1. What is Parallel Computing? 2. Why use Parallel Computing? 3. Types of Parallelism 4. Amdahl s Law 5. Flynn s Taxonomy of Parallel Computers 6.

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 6 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Contents FPGA Technology Programmable logic Cell (PLC) Mux-based cells Look up table PLA

More information

On GPU Bus Power Reduction with 3D IC Technologies

On GPU Bus Power Reduction with 3D IC Technologies On GPU Bus Power Reduction with 3D Technologies Young-Joon Lee and Sung Kyu Lim School of ECE, Georgia Institute of Technology, Atlanta, Georgia, USA yjlee@gatech.edu, limsk@ece.gatech.edu Abstract The

More information

ECE260B CSE241A Winter Routing. Website:

ECE260B CSE241A Winter Routing. Website: ECE260B CSE241A Winter 2007 Routing Website: http://vlsicad.ucsd.edu/courses/ece260b-w07 ECE 260B CSE 241A Routing 1 Homework Questions, January 24, 2007 Placement Lecture (Lecture #5) Question 1. Slide

More information

Physical Placement with Cadence SoCEncounter 7.1

Physical Placement with Cadence SoCEncounter 7.1 Physical Placement with Cadence SoCEncounter 7.1 Joachim Rodrigues Department of Electrical and Information Technology Lund University Lund, Sweden November 2008 Address for correspondence: Joachim Rodrigues

More information

COE 561 Digital System Design & Synthesis Introduction

COE 561 Digital System Design & Synthesis Introduction 1 COE 561 Digital System Design & Synthesis Introduction Dr. Aiman H. El-Maleh Computer Engineering Department King Fahd University of Petroleum & Minerals Outline Course Topics Microelectronics Design

More information

PushPull: Short Path Padding for Timing Error Resilient Circuits YU-MING YANG IRIS HUI-RU JIANG SUNG-TING HO. IRIS Lab National Chiao Tung University

PushPull: Short Path Padding for Timing Error Resilient Circuits YU-MING YANG IRIS HUI-RU JIANG SUNG-TING HO. IRIS Lab National Chiao Tung University PushPull: Short Path Padding for Timing Error Resilient Circuits YU-MING YANG IRIS HUI-RU JIANG SUNG-TING HO IRIS Lab National Chiao Tung University Outline Introduction Problem Formulation Algorithm -

More information

Advanced Surface Based MoM Techniques for Packaging and Interconnect Analysis

Advanced Surface Based MoM Techniques for Packaging and Interconnect Analysis Electrical Interconnect and Packaging Advanced Surface Based MoM Techniques for Packaging and Interconnect Analysis Jason Morsey Barry Rubin, Lijun Jiang, Lon Eisenberg, Alina Deutsch Introduction Fast

More information

AN 567: Quartus II Design Separation Flow

AN 567: Quartus II Design Separation Flow AN 567: Quartus II Design Separation Flow June 2009 AN-567-1.0 Introduction This application note assumes familiarity with the Quartus II incremental compilation flow and floorplanning with the LogicLock

More information

An Interconnect-Centric Design Flow for Nanometer Technologies. Outline

An Interconnect-Centric Design Flow for Nanometer Technologies. Outline An Interconnect-Centric Design Flow for Nanometer Technologies Jason Cong UCLA Computer Science Department Email: cong@cs.ucla.edu Tel: 310-206-2775 http://cadlab.cs.ucla.edu/~cong Outline Global interconnects

More information

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Physical Design of Digital Integrated Circuits (EN029 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Lecture 09: Routing Introduction to Routing Global Routing Detailed Routing 2

More information

Calibrating Achievable Design GSRC Annual Review June 9, 2002

Calibrating Achievable Design GSRC Annual Review June 9, 2002 Calibrating Achievable Design GSRC Annual Review June 9, 2002 Wayne Dai, Andrew Kahng, Tsu-Jae King, Wojciech Maly,, Igor Markov, Herman Schmit, Dennis Sylvester DUSD(Labs) Calibrating Achievable Design

More information

ECE260B CSE241A Winter Routing

ECE260B CSE241A Winter Routing ECE260B CSE241A Winter 2005 Routing Website: / courses/ ece260bw05 ECE 260B CSE 241A Routing 1 Slides courtesy of Prof. Andrew B. Kahng Physical Design Flow Input Floorplanning Read Netlist Floorplanning

More information

Digital VLSI Design. Lecture 7: Placement

Digital VLSI Design. Lecture 7: Placement Digital VLSI Design Lecture 7: Placement Semester A, 2016-17 Lecturer: Dr. Adam Teman 29 December 2016 Disclaimer: This course was prepared, in its entirety, by Adam Teman. Many materials were copied from

More information

The Need for Speed: Understanding design factors that make multicore parallel simulations efficient

The Need for Speed: Understanding design factors that make multicore parallel simulations efficient The Need for Speed: Understanding design factors that make multicore parallel simulations efficient Shobana Sudhakar Design & Verification Technology Mentor Graphics Wilsonville, OR shobana_sudhakar@mentor.com

More information

AccuCore. Product Overview of Block Characterization, Modeling and STA

AccuCore. Product Overview of Block Characterization, Modeling and STA AccuCore Product Overview of Block Characterization, Modeling and STA What is AccuCore? AccuCore performs timing characterization of multi-million device circuits with SmartSpice accuracy and performs

More information

Lattice Semiconductor Design Floorplanning

Lattice Semiconductor Design Floorplanning September 2012 Introduction Technical Note TN1010 Lattice Semiconductor s isplever software, together with Lattice Semiconductor s catalog of programmable devices, provides options to help meet design

More information

Lecture 8: Synthesis, Implementation Constraints and High-Level Planning

Lecture 8: Synthesis, Implementation Constraints and High-Level Planning Lecture 8: Synthesis, Implementation Constraints and High-Level Planning MAH, AEN EE271 Lecture 8 1 Overview Reading Synopsys Verilog Guide WE 6.3.5-6.3.6 (gate array, standard cells) Introduction We have

More information

Symmetrical Buffer Placement in Clock Trees for Minimal Skew Immune to Global On-chip Variations

Symmetrical Buffer Placement in Clock Trees for Minimal Skew Immune to Global On-chip Variations XXVII IEEE INTERNATIONAL CONFERENCE ON COMPUTER DESIGN, OCTOBER 5, 2009 Symmetrical Buffer Placement in Clock Trees for Minimal Skew Immune to Global On-chip Variations Renshen Wang 1 Takumi Okamoto 2

More information

EE582 Physical Design Automation of VLSI Circuits and Systems

EE582 Physical Design Automation of VLSI Circuits and Systems EE582 Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University Preliminaries Table of Contents Semiconductor manufacturing Problems to solve Algorithm complexity

More information

Advanced FPGA Design Methodologies with Xilinx Vivado

Advanced FPGA Design Methodologies with Xilinx Vivado Advanced FPGA Design Methodologies with Xilinx Vivado Alexander Jäger Computer Architecture Group Heidelberg University, Germany Abstract With shrinking feature sizes in the ASIC manufacturing technology,

More information

EE282 Computer Architecture. Lecture 1: What is Computer Architecture?

EE282 Computer Architecture. Lecture 1: What is Computer Architecture? EE282 Computer Architecture Lecture : What is Computer Architecture? September 27, 200 Marc Tremblay Computer Systems Laboratory Stanford University marctrem@csl.stanford.edu Goals Understand how computer

More information

A Practical Approach to Preventing Simultaneous Switching Noise and Ground Bounce Problems in IO Rings

A Practical Approach to Preventing Simultaneous Switching Noise and Ground Bounce Problems in IO Rings A Practical Approach to Preventing Simultaneous Switching Noise and Ground Bounce Problems in IO Rings Dr. Osman Ersed Akcasu, Jerry Tallinger, Kerem Akcasu OEA International, Inc. 155 East Main Avenue,

More information

VLSI Design Automation. Maurizio Palesi

VLSI Design Automation. Maurizio Palesi VLSI Design Automation 1 Outline Technology trends VLSI Design flow (an overview) 2 Outline Technology trends VLSI Design flow (an overview) 3 IC Products Processors CPU, DSP, Controllers Memory chips

More information

INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS)

INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS) INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS) Bill Jason P. Tomas Dept. of Electrical and Computer Engineering University of Nevada Las Vegas FIELD PROGRAMMABLE ARRAYS Dominant digital design

More information

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions Logic Synthesis Overview Design flow Principles of logic synthesis Logic Synthesis with the common tools Conclusions 2 System Design Flow Electronic System Level (ESL) flow System C TLM, Verification,

More information