Using Specman Elite to Verify a 6-Port Switch ASIC

Size: px
Start display at page:

Download "Using Specman Elite to Verify a 6-Port Switch ASIC"

Transcription

1 Using Specman Elite to Verify a 6-Port Switch ASIC Timothy J. Holt Paradigm Works tim.holt@paradigm-works.com Robert J. Ionta Paradigm Works bob.ionta@paradigm-works.com Tom Franco Narad Networks francot@naradnetworks.com Jack Collins Narad Networks collinsj@naradnetworks.com

2 Abstract This paper will show how Specman Elite was effectively used to verify a 6-port switch ASIC in a critical time-to-market situation. The goals of the project were to 1) develop a test environment quickly in order to get immediate test results, 2) build a reusable, maintainable environment that could be used for different versions of the ASIC, and 3) make effective use of directed-random stimulus in the testbench. The system under test was a 6- port switch ASIC. There was much complex functionality to test on this ASIC including flow control, upstream traffic arbitration, a proprietary physical layer, and error detection/recovery. The testbench consisted of multiple port transactors, a microprocessor transactor, scoreboards for the port and the microprocessor interfaces, several internal monitors and checkers, and a packet generator. The project concluded very successfully with a first-pass working ASIC. 1. Introduction This paper describes an ASIC verification project that used Specman Elite (e) as the primary verification language. The system under test is a 6-port switch ASIC that was coded using the Verilog HDL language. The goals of the verification project (aside from producing a first-pass successful ASIC) were to 1) develop a test environment quickly in order to get immediate test results, 2) build a reusable, maintainable environment that could be used for different versions of the ASIC, and 3) make effective use of directed-random stimulus in the testbench. Specman e was chosen as the verification language since it appeared capable of meeting these goals. The success of the project depended on the efficient use of a revision control system, a thorough written test plan, and realistic completion criteria (which were established at the outset of the project). In general, downstream traffic enters the Switch ASIC from the upstream port at speeds up to 1 Gbps. This traffic is switched to any of the five egress ports and/or a processor port. Four egress ports can be used at 1 Gbps, or five ports can be used when a single port is at 1 Gbps and the other four ports are running at 100 Mbps. In the upstream direction, traffic enters the Switch ASIC from four or five ports depending on the configuration. The traffic is aggregated and prioritized and sent upstream on the single upstream egress port. Traffic can be monitored by the onboard microprocessor, which can additionally insert traffic into the upstream path. 3. Verification tools and testbench 2. System under test The system under test is called the Switch ASIC. It is a 6-port device that supports bidirectional data streams running at 1 Gbps at each port. The main functions performed by the Switch ASIC are as follows: Switches downstream packets to the output port for which they are destined; Provides a large amount of downstream packet buffering to accommodate traffic shaping; Allows the replacement of the upstream physical layer port with the C5-DCP, a network processor, over a modified GMII port; In the upstream direction it supports the multiplexing of five traffic streams each carrying 1 Gbps onto a 1-Gbps output port. It can also be configured to support multiplexing of four 100- Mbps input ports and a 1-Gbps input port onto a 1-Gbps output port; Provides on-chip queuing based on four priorities (QoS classes) in the upstream direction, enabling services requiring low latency, jitter, and packet loss; Minimizes packet loss by implementing prioritybased flow control in the upstream direction; Incorporates intelligence into the network by integrating a PowerPC processor on the board, allowing for the creation of managed networks; Implements a weighted round robin (WRR) scheme to ensure that unsubscribed bit rate (UBR) bandwidth is shared fairly between users; Transports standard link layer Ethernet packets across the Narad physical layer. The following verification tools were used on this project: Specman Elite; VCS; Covermeter; Virsim; LSF (job batch system); CPU farm (16 processors); Perl-based run/regress scripts; CVS for source code control; JitterBug for bug tracking.

3 Most of these tools were chosen based on price and industry standards. (There is little reason to spend money on tools that can be used for free: Perl, CVS, and JitterBug.) The testbench itself consists of the following modular components: Port transactor ( 6); Microprocessor transactor; GMII transactor; Port/microprocessor scoreboards ( 7); Internal monitors/checkers (upstream, downstream, dropped packets, arbitration); Testbench ASIC configuration file; Packet generator; Top-level testbench code. The port transactor (bus functional model) is responsible for generating packets and driving them onto the port interface of the ASIC. Every packet generated is placed on the scoreboard for that port for later checking. The port transactor also receives packets from the ASIC and puts these on the scoreboard to be checked. The upstream port transactor is slightly different from the downstream port transactor because of flow control issues. The upstream scoreboard is also a little different from the downstream scoreboards because packets headed upstream act differently than packets headed downstream (routing downstream, aggregating traffic upstream). The microprocessor transactor is responsible for sending and receiving packets just as the port transactor does. It also implements the ASIC configuration and setup routines, contains an interrupt monitor for checking and responding to interrupts, and is available to the test for accessing the ASIC. The scoreboards receive transmit and receive packets from each port and microprocessor transactor. Since there is source port information embedded in the data of the packet, the scoreboard is able to determine where the packet came from and can check every byte of data. The scoreboard also receives information from each of the internal monitors and checkers to deal with dropped or stalled packets. Of course, any packets that are left over in the scoreboard at the end of simulation might indicate an error. The testbench and ASIC configuration code is based on a single configuration file that contains information about every ASIC and testbench configuration. New configurations are simple to add since only the differences between the base configuration and the new configuration need to be specified. A Perl script is used to parse the file and generate the appropriate e file to include at the top level of the testbench. This e file contains a set of defines for each ASIC configuration register, in addition to some testbench defines. Each ASIC register definition contains read/write, hard reset, soft reset, and default value information. There are four basic configurations of the Switch ASIC defined. These configurations are different combinations of port speeds and numbers of active ports. The packet generator is essentially a struct that defines an Ethernet packet with the additional physical layer and proprietary embedded control information. Packets are generated on the fly by the transactors based on default constraints or constraints imposed by the test itself. The unit concept is used to create all of the transactors and checkers, as well as the top level of the testbench. Each of the transactors, checkers, and monitors is instantiated at the top level. The hdl_path of that particular entity is set at the top level. Therefore, each low-level unit does not need to know anything about how it actually fits into the hierarchy of the Verilog environment. Most of the tests developed for this testbench are directed-random tests. The user specifies the number of packets to be generated on each port (via a constraint), and also specifies any other constraints to be modified or added. The most simplistic test is a mere dozen lines of code that essentially specify the number of packets per port. Since Specman allows the user to override or modify existing constraints or to add new constraints, it is easy for the test writer to modify the behavior of the testbench. The more tightly everything is constrained, the more directed the test becomes. The timing and content of every packet can be controlled by the test. This, combined with easy access to the RTL itself and to the microprocessor interface, allows for completely directed tests if desired. Figures 1 and 2 illustrate how the Switch ASIC fits into the testbench in this environment.

4 Port Transactor / Figure 1 Testbench/DUT block diagram DUT Switch ASIC PHY I/F ( 1) Figure 2 Testbench Internal Monitors 4. Interesting items to test GMII Transactor / GMII I/F (x1) Memory Upstream Downstream PHY I/F ( 5) Port Transactor / ( 5) CPU I/F CPU Transactor / Top Level Testbench Port xactor ( 6) CPU xactor Configuration Test connects to everything There are several interesting features of the Switch ASIC that required significant testing. These include flow control, arbitration, the physical layer, and error detection/recovery. The Switch ASIC implements flow control in the downstream direction (i.e., upstream ports are allowed to flow control downstream ports). This is necessary because there can be 4 Gbps of data heading upstream into a 1- Gbps pipe. We needed to test the ASIC s response to flow control from upstream and also verify that flow control information heading downstream was generated properly. The Switch ASIC implements a complex arbitration scheme for traffic headed upstream. Packets of different QoS classes are given different priorities, and only the highest priority traffic is allowed to travel upstream through the ASIC. The complete arbitration mechanism is fairly complex and required a significant amount of code to model. The physical layer is the next major item to be tested. The Switch ASIC implements a complex framing mechanism on the physical layer. Ethernet packets are packed into frames containing carrier, symbol synchronization, SFD, and payload. In addition to the Ethernet payload, there is an additional small payload on every frame that contains control information for the network. On transmit, the physical layer interface takes the framed data, scrambles it, breaks the bytes into 16- QAM symbols, then scrambles the signs of the symbols before passing the symbols on to the analog portion of the transceiver. The converse of this happens on the receive path. Finally, the Switch ASIC implements several mechanisms for error detection and recovery, including both physical line errors and internal RAM errors. 5. Packet generation and flow control The standard packet in this environment is an Ethernet packet with an additional 2-byte header (length and CRC), an additional N-byte embedded control field, and a recalculated CRC. None of the Ethernet data is actually used by the Switch ASIC. All switching is done based on the N-byte embedded control field. The packet is defined by a struct, and all of the struct fields can be constrained by either the testbench or by the test itself. In addition to the data items that are part of the physical packet, there are many items that are used only for control of the packet generation and randomization. For example, the packet structure contains switches that allow generation of length/header CRC errors, bad length errors, and data CRC errors. Since frames are streamed constantly from the ASIC, they may or may not contain valid packets. They do, however, always contain valid out-of-band (OoB) data every M bytes. Contained in this OoB data is the flow control information. The OoB data is defined by another struct that can be easily constrained by the testbench (or test). The frame itself is not defined by any structure but is created on the fly by the port transactor as it generates packets to send to the ASIC. The port transmit transactor is responsible for actually generating the packets, framing them, converting the byte stream to symbols, and then sending them to the ASIC. The port receive transactor does the converse: it grabs

5 data off of the wire, converts the symbols back to a byte stream, deframes, and then repacketizes the data. There are a few things that the test can control in the transactor. These are the interpacket gap (IPG), flow control, and the interface speed (1G/100M). The latter is actually more under the control of the testbench configuration routines. The constraints used on the packet, the OoB data, and the port transactor are typically soft constraints, which means that they can be easily overridden by the test. Many of these constraints are also tied to the speed of the port (1G/100M). The test or testbench may also want to control these constraints on a port-by-port basis (e.g., different traffic patterns on each port). This is accomplished by using e s implication operator in the constraint generation, (e.g. keep port == 6 => soft bad_crc == FALSE;). The flow control byte is defined as part of the OoB data structure. The Switch ASIC enables flow control by transmitting flow control bits in the OoB data to a downstream node. The port receive transactor is responsible for grabbing the flow control information from outgoing frames from the Switch ASIC and passing this information to the port transmit transactor. If flow control is set for a particular queue, then no traffic will be generated for that queue (QoS value). When the port transmit transactor is emulating the upstream port, it can set flow control to the Switch ASIC. Using constraints and extensions of existing data structures allowed us to easily add functions that tie together the IPG and flow control properties of the transactor to the embedded control field in the packet. 6. Error generation In general, there are several methods of error injection in any test environment. In this environment we mainly use three of those methods: Allow constraints to be modified by the test or testbench (e.g., keep length < 64). Add an enable/weight function for a particular error (e.g., keep crc_error == select [20:TRUE; 80:FALSE;]). Extend an existing structure definition to modify existing functions or to add a post_generate() method. Specific error mechanisms used in this environment are as follows. There are flags in the packet definition to enable CRC errors (both Ethernet and length/header) and bad length errors. Runts and oversized packets are generated by simply adjusting the length constraint on the packet. Runt packets can cause problems with the CRC generation and packing functions of the packet structure. Therefore, additional code in the packet structure is needed to compensate for this. Errors on the embedded control field of the packet are generated by extending the post_generate() method of the packet structure. Bad flow control and IPG is handled by constraints in the port transactor. Finally, there is always the option of monitoring and forcing a HDL net to achieve the error condition. This was done in our environment mainly to inject internal RAM errors. Many errors and other combinations of parameters are only valid on certain ports (e.g., the microprocessor port will never have CRC errors because it is considered an on-board port). Specman s implication operator is ideal to use for this condition. It allows us to modify constraints only on specific ports or only after other constraints have been met. 7. Internal monitors There are several internal chip monitors in this testbench, including: Upstream QoS; Upstream packet drop; Downstream arbitration; Downstream routing; Downstream packet drop. The rationale behind peeking into the ASIC is simple. In this particular system it is difficult to predict which port a packet should come out of the chip on and when it should actually come out. In order to predict this, we would have to model all of the internal pipeline delays throughout the entire ASIC. Instead, by monitoring internal interfaces we can correlate between packets seen internally and the packets on the external interface. Unique identifiers within each packet are monitored as the packet traverses the logic. Logs containing these identifiers assist with isolating missing packets rather than always looking at waveforms. Specman is not necessarily any better at doing these tasks than any other high-level language would have been, except for its ability to easily monitor any HDL net by simply quoting the signal name. The upstream QoS monitor receives the data from the upstream memory RTL interface and checks the QoS functions: on-chip queuing based on four QoS classes and the WRR scheme that handles the lowest priority UBR bandwidth. The downstream arbitration monitor is written in C. Specman allows easy access to C routines, thus easing any potential problems. The downstream arbitration monitor is written this way because C is in general much faster than e and we wanted to test the downstream arbiter in a stand-alone setting before the remainder of the chip was ready. The drawback to integrating C is the lack of visibility into the C code with the Specman debug tools.

6 C debuggers were not attempted simultaneously with the Specman toolset. The goal of this monitor is to predict at a cycle-accurate level what the arbiter should be doing. The inputs and outputs of the arbiter are monitored, the inputs are correlated and checked against traffic sent into the Switch ASIC, the prediction is made based on these inputs, and finally the outputs of the monitor are checked. The downstream routing monitor is used to verify that the downstream packet gets routed to the correct destination port. It has its own model of the routing table and verifies that the ASIC does the correct thing. Additionally, it is used to help the external scoreboards check their data. The scoreboard simply asks the downstream routing monitor if this particular packet should have ended up at this port before doing the data checking. The drop packet monitors (both upstream and downstream) are used only to help the packet scoreboards do their jobs. Predicting which packet would be dropped (either upstream or downstream) was deemed too complicated even when looking at the internals of the ASIC. The packet scoreboards, however, still need to know which packets are being dropped. If there is a problem in the chip where too many packets are being dropped, it would be detected by the external bandwidth monitors that sat on each of the ports. 8. Meeting our goals As stated earlier, the goals of the project (in addition to having working silicon at the end) were to 1) develop a test environment quickly in order to get immediate test results, 2) build a reusable, maintainable environment that could be used for different versions of the ASIC, and 3) make effective use of directed-random stimulus in the testbench. The first goal was handily met. We had a basic, useful testbench up and running in 4 weeks. This testbench included most of the major pieces of functionality in the testbench (port transactors, microprocessor transactor, packet generation, drop packet monitor, upstream and downstream monitors, and scoreboards). This accomplishment would not have been possible without the use of a high-level verification language. Even using C or C++, we would had to have written a large number of PLI access routines in order to access the ASIC. With Specman, this is all taken care of behind the scenes so that we could focus on writing the transactors and checkers. The second goal of the project was easily met as well. All of the testbench pieces are fairly modular and can be pulled from the testbench with little effort. This was a significant bonus when it came time to put together an environment for the FPGA port of the Switch ASIC. The FPGA version of the device had multiple FPGAs, different clock speeds, different number of ports, and different size memories. Because of the modular nature of the Specman components in the testbench, we were able to pull together this environment in short order. Our third goal, to make effective use of directedrandom stimulus, was also met. Verifying today s ASICs is a significant challenge, and directed testing often falls far short. Random testing is necessary to hit all of the nasty corner cases in the design that the verification engineers cannot think of. Using Specman not only allowed us to easily generate random stimulus, but also enabled us to direct this stimulus towards many specific corner cases that we did think of. There were several issues that were not addressed due to resource constraints on the project. The major issue was module level testing on portions of the ASIC. Specifically, we wanted to test the MAC/PHY block, the upstream data path, the downstream data path, and the upstream arbiter. Given the environment that was created, this could have been easily accomplished. These modules each have relatively generic MII-like interfaces that the external port transactors and packet generators would have hooked up to easily. Because of the portable nature of our Specman code, it would have been simple to create module level testbenches for these items. We had also planned to use Specman s function coverage feature to improve, or even direct, some of the tests we had written. This turned out to be a non-trivial task, and time constraints prevented its proper execution. Lastly, we wanted to make performance enhancements in the testbench. This would have involved recoding many of our e functions to improve speed. According to one of the profilers that we used, we were seeing more than 85% of the CPU time of the run being taken by Specman. Again, because of schedule constraints these enhancements were not made. This last issue is probably the most significant drawback to using Specman e as a verification language. Specman is great to get a testbench up and running in a short amount of time. This benefit has a price, however, in that run times can get very long. After the project ended, the performance was enhanced by utilizing performance tools provided by Verisity to target code bottlenecks. The first target was to rewrite complicated keyword events into time-consuming methods. This improved performance 2 times over the initial coding schemes. Other commands that were deemed too inefficient were list commands such as pop0(). This actually pops the first item off the list but then shifts all the other items up in the list to cover the missing location. The gen command was found to be very inefficient when used on large structs. It was found to be much more effective to gen the struct once, and then any item that required on-the-fly randomizing later was gen ed individually at the time it was actually needed by the simulation.

7 9. Conclusion The project concluded very successfully. It took 6 months from verification concept to ASIC tape-out. We had a basic, useful testbench up and running in 1 month. Verification was completed only 1 month behind the original, extremely aggressive schedule. We ran more than 30,000 jobs via LSF and attained line coverage of more than 95% in less than 4 months. Towards the end of the project we had a regression of over 100 tests, which took approximately 500 CPU hours to complete. It was easy to port the environment from the ASIC testbench to several different FPGA testbenches. Finally, the most important goal was met: the ASIC worked correctly the first time. The team communicated very well and made quick decisions on methodology. Code was leveraged very heavily between team members, and as a result, less time was spent trying to reinvent the wheel if someone had already developed code for a similar item. As with any language, there seems to be a small subset of the e language that gets the job done and thus speeds up the learning curve for a new team member to become productive. Specman e was a critical element in the timeliness and success of this project.

Simulation-Based FlexRay TM Conformance Testing an OVM success story

Simulation-Based FlexRay TM Conformance Testing an OVM success story Simulation-Based FlexRay TM Conformance Testing an OVM success story Mark Litterick, Co-founder & Verification Consultant, Verilab Abstract This article presents a case study on how the Open Verification

More information

SPECMAN-E TESTBENCH. Al. GROSU 1 M. CARP 2

SPECMAN-E TESTBENCH. Al. GROSU 1 M. CARP 2 Bulletin of the Transilvania University of Braşov Vol. 11 (60) No. 1-2018 Series I: Engineering Sciences SPECMAN-E TESTBENCH Al. GROSU 1 M. CARP 2 Abstract: The scope of this document is to present a Verification

More information

Top-Down Transaction-Level Design with TL-Verilog

Top-Down Transaction-Level Design with TL-Verilog Top-Down Transaction-Level Design with TL-Verilog Steven Hoover Redwood EDA Shrewsbury, MA, USA steve.hoover@redwoodeda.com Ahmed Salman Alexandria, Egypt e.ahmedsalman@gmail.com Abstract Transaction-Level

More information

DO-254 Testing of High Speed FPGA Interfaces by Nir Weintroub, CEO, and Sani Jabsheh, Verisense

DO-254 Testing of High Speed FPGA Interfaces by Nir Weintroub, CEO, and Sani Jabsheh, Verisense DO-254 Testing of High Speed FPGA Interfaces by Nir Weintroub, CEO, and Sani Jabsheh, Verisense As the complexity of electronics for airborne applications continues to rise, an increasing number of applications

More information

Veloce2 the Enterprise Verification Platform. Simon Chen Emulation Business Development Director Mentor Graphics

Veloce2 the Enterprise Verification Platform. Simon Chen Emulation Business Development Director Mentor Graphics Veloce2 the Enterprise Verification Platform Simon Chen Emulation Business Development Director Mentor Graphics Agenda Emulation Use Modes Veloce Overview ARM case study Conclusion 2 Veloce Emulation Use

More information

Module- or Class-Based URM? A Pragmatic Guide to Creating Verification Environments in SystemVerilog. Paradigm Works, Inc. Dr.

Module- or Class-Based URM? A Pragmatic Guide to Creating Verification Environments in SystemVerilog. Paradigm Works, Inc. Dr. Module- or Class-Based URM? A Pragmatic Guide to Creating Verification Environments in SystemVerilog Paradigm Works, Inc. Dr. Ambar Sarkar Session # 2.15 Presented at Module- or Class-Based URM? A Pragmatic

More information

CREATIVE ASSERTION AND CONSTRAINT METHODS FOR FORMAL DESIGN VERIFICATION

CREATIVE ASSERTION AND CONSTRAINT METHODS FOR FORMAL DESIGN VERIFICATION CREATIVE ASSERTION AND CONSTRAINT METHODS FOR FORMAL DESIGN VERIFICATION Joseph Richards SGI, High Performance Systems Development Mountain View, CA richards@sgi.com Abstract The challenges involved in

More information

Architecture for Massively Parallel HDL Simulations Rich Porter Art of Silicon

Architecture for Massively Parallel HDL Simulations Rich Porter Art of Silicon Architecture for Massively Parallel HDL Simulations Rich Porter Art of Silicon 1 Art of Silicon Founded in 2005 Bristol based Multimedia centric Silicon IP Bespoke IP creation Consultancy 2 It's all about

More information

Optimizing Emulator Utilization by Russ Klein, Program Director, Mentor Graphics

Optimizing Emulator Utilization by Russ Klein, Program Director, Mentor Graphics Optimizing Emulator Utilization by Russ Klein, Program Director, Mentor Graphics INTRODUCTION Emulators, like Mentor Graphics Veloce, are able to run designs in RTL orders of magnitude faster than logic

More information

Assertive Verification: A Ten-Minute Primer

Assertive Verification: A Ten-Minute Primer Assertive Verification: A Ten-Minute Primer As published on 8/16/02 in EEDesign.com And Written by Saeed Coates, Paradigm Works, Inc. www.paradigm-works.com Table of Contents 1.1 Introduction: The Verification

More information

The Nios II Family of Configurable Soft-core Processors

The Nios II Family of Configurable Soft-core Processors The Nios II Family of Configurable Soft-core Processors James Ball August 16, 2005 2005 Altera Corporation Agenda Nios II Introduction Configuring your CPU FPGA vs. ASIC CPU Design Instruction Set Architecture

More information

DEVELOPMENT AND VERIFICATION OF AHB2APB BRIDGE PROTOCOL USING UVM TECHNIQUE

DEVELOPMENT AND VERIFICATION OF AHB2APB BRIDGE PROTOCOL USING UVM TECHNIQUE DEVELOPMENT AND VERIFICATION OF AHB2APB BRIDGE PROTOCOL USING UVM TECHNIQUE N.G.N.PRASAD Assistant Professor K.I.E.T College, Korangi Abstract: The AMBA AHB is for high-performance, high clock frequency

More information

Verifying the Correctness of the PA 7300LC Processor

Verifying the Correctness of the PA 7300LC Processor Verifying the Correctness of the PA 7300LC Processor Functional verification was divided into presilicon and postsilicon phases. Software models were used in the presilicon phase, and fabricated chips

More information

ZeBu : A Unified Verification Approach for Hardware Designers and Embedded Software Developers

ZeBu : A Unified Verification Approach for Hardware Designers and Embedded Software Developers THE FASTEST VERIFICATION ZeBu : A Unified Verification Approach for Hardware Designers and Embedded Software Developers White Paper April, 2010 www.eve-team.com Introduction Moore s law continues to drive

More information

SystemVerilog Assertions in the Design Process 213

SystemVerilog Assertions in the Design Process 213 SystemVerilog Assertions in the Design Process 213 6.6 RTL Design Assertions, generated during the architectural planning phases, greatly facilitate the writing of the RTL implementation because they help

More information

SoC / ASIC / FPGA / IP

SoC / ASIC / FPGA / IP Top Notch Solution Providers in design & Verification for SoC / ASIC / FPGA / IP Delivering high quality services with technical excellence, continuous innovation, passion and unyielding integrity. KACPER

More information

Graph-Based IP Verification in an ARM SoC Environment by Andreas Meyer, Verification Technologist, Mentor Graphics Corporation

Graph-Based IP Verification in an ARM SoC Environment by Andreas Meyer, Verification Technologist, Mentor Graphics Corporation Graph-Based IP Verification in an ARM SoC Environment by Andreas Meyer, Verification Technologist, Mentor Graphics Corporation The use of graph-based verification methods for block designs has been shown

More information

Quality of Service (QoS)

Quality of Service (QoS) Quality of Service (QoS) The Internet was originally designed for best-effort service without guarantee of predictable performance. Best-effort service is often sufficient for a traffic that is not sensitive

More information

A framework for verification of Program Control Unit of VLIW processors

A framework for verification of Program Control Unit of VLIW processors A framework for verification of Program Control Unit of VLIW processors Santhosh Billava, Saankhya Labs, Bangalore, India (santoshb@saankhyalabs.com) Sharangdhar M Honwadkar, Saankhya Labs, Bangalore,

More information

Full-Chip Pattern Integration

Full-Chip Pattern Integration Introduction Full-Chip Pattern Integration Failing tests; schedule slips; silicon re-spins; development tools that break with each new design. A growing number of test engineers are faced with these critical

More information

Efficient Verification of Mixed-Signal SerDes IP Using UVM

Efficient Verification of Mixed-Signal SerDes IP Using UVM Efficient Verification of Mixed-Signal SerDes IP Using UVM Varun R, Senior Design Engineer, Cadence Vinayak Hegde, Design Engineering Manager, Cadence IP are an integral part of systems-on-chips (SoC)

More information

Ten Reasons to Optimize a Processor

Ten Reasons to Optimize a Processor By Neil Robinson SoC designs today require application-specific logic that meets exacting design requirements, yet is flexible enough to adjust to evolving industry standards. Optimizing your processor

More information

DISTRIBUTED EMBEDDED ARCHITECTURES

DISTRIBUTED EMBEDDED ARCHITECTURES DISTRIBUTED EMBEDDED ARCHITECTURES A distributed embedded system can be organized in many different ways, but its basic units are the Processing Elements (PE) and the network as illustrated in Figure.

More information

GUARANTEED END-TO-END LATENCY THROUGH ETHERNET

GUARANTEED END-TO-END LATENCY THROUGH ETHERNET GUARANTEED END-TO-END LATENCY THROUGH ETHERNET Øyvind Holmeide, OnTime Networks AS, Oslo, Norway oeyvind@ontimenet.com Markus Schmitz, OnTime Networks LLC, Texas, USA markus@ontimenet.com Abstract: Latency

More information

DESIGN AND IMPLEMENTATION OF AN AVIONICS FULL DUPLEX ETHERNET (A664) DATA ACQUISITION SYSTEM

DESIGN AND IMPLEMENTATION OF AN AVIONICS FULL DUPLEX ETHERNET (A664) DATA ACQUISITION SYSTEM DESIGN AND IMPLEMENTATION OF AN AVIONICS FULL DUPLEX ETHERNET (A664) DATA ACQUISITION SYSTEM Alberto Perez, Technical Manager, Test & Integration John Hildin, Director of Network s John Roach, Vice President

More information

FlexRIO. FPGAs Bringing Custom Functionality to Instruments. Ravichandran Raghavan Technical Marketing Engineer. ni.com

FlexRIO. FPGAs Bringing Custom Functionality to Instruments. Ravichandran Raghavan Technical Marketing Engineer. ni.com FlexRIO FPGAs Bringing Custom Functionality to Instruments Ravichandran Raghavan Technical Marketing Engineer Electrical Test Today Acquire, Transfer, Post-Process Paradigm Fixed- Functionality Triggers

More information

Chapter 1. Introduction

Chapter 1. Introduction Chapter 1 Introduction In a packet-switched network, packets are buffered when they cannot be processed or transmitted at the rate they arrive. There are three main reasons that a router, with generic

More information

SoC Verification Methodology. Prof. Chien-Nan Liu TEL: ext:

SoC Verification Methodology. Prof. Chien-Nan Liu TEL: ext: SoC Verification Methodology Prof. Chien-Nan Liu TEL: 03-4227151 ext:4534 Email: jimmy@ee.ncu.edu.tw 1 Outline l Verification Overview l Verification Strategies l Tools for Verification l SoC Verification

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

Assertion Based Verification of AMBA-AHB Using System Verilog

Assertion Based Verification of AMBA-AHB Using System Verilog Assertion Based Verification of AMBA-AHB Using System Verilog N.Karthik M.Tech VLSI, CMR Institute of Technology, Kandlakoya Village, Medchal Road, Hyderabad, Telangana 501401. M.Gurunadha Babu Professor

More information

List of Code Samples. xiii

List of Code Samples. xiii xiii List of Code Samples Sample 1-1 Driving the APB pins 16 Sample 1-2 A task to drive the APB pins 17 Sample 1-3 Low-level Verilog test 17 Sample 1-4 Basic transactor code 21 Sample 2-1 Using the logic

More information

Choosing an Intellectual Property Core

Choosing an Intellectual Property Core Choosing an Intellectual Property Core MIPS Technologies, Inc. June 2002 One of the most important product development decisions facing SOC designers today is choosing an intellectual property (IP) core.

More information

Applying the Benefits of Network on a Chip Architecture to FPGA System Design

Applying the Benefits of Network on a Chip Architecture to FPGA System Design white paper Intel FPGA Applying the Benefits of on a Chip Architecture to FPGA System Design Authors Kent Orthner Senior Manager, Software and IP Intel Corporation Table of Contents Abstract...1 Introduction...1

More information

Computer Networks and the internet. Daniel Graham Ph.D

Computer Networks and the internet. Daniel Graham Ph.D Computer Networks and the internet Daniel Graham Ph.D 1.1 What Is the Internet? The Internet is a computer network that interconnects hundreds of millions of computing devices throughout the world. As

More information

Creating a Complete Low Power Verification Strategy using the Common Power Format and UVM

Creating a Complete Low Power Verification Strategy using the Common Power Format and UVM Creating a Complete Low Power Verification Strategy using the Common Power Format and UVM Robert Meyer Medtronic, Inc. 8200 Coral Sea Street NE MS MVC61 Mounds View, MN 55112 robert.j.meyer@medtronic.com

More information

2. System Interconnect Fabric for Memory-Mapped Interfaces

2. System Interconnect Fabric for Memory-Mapped Interfaces 2. System Interconnect Fabric for Memory-Mapped Interfaces QII54003-8.1.0 Introduction The system interconnect fabric for memory-mapped interfaces is a high-bandwidth interconnect structure for connecting

More information

Portable Stimulus vs Formal vs UVM A Comparative Analysis of Verification Methodologies Throughout the Life of an IP Block

Portable Stimulus vs Formal vs UVM A Comparative Analysis of Verification Methodologies Throughout the Life of an IP Block Portable Stimulus vs Formal vs UVM A Comparative Analysis of Verification Methodologies Throughout the Life of an IP Block Gaurav Bhatnagar Staff Engineer, Analog Devices, Inc David Brownell Manager, Analog

More information

Verification Strategies

Verification Strategies Verification Strategies Author: Rudolf Usselmann rudi@asics.ws Rev. 0.1 February 4, 2001 Preliminary Draft Revision History Rev. Date Author Description 0.1 4/2/01 Rudolf Usselmann First Draft OpenCores

More information

Verification of Digital Systems, Spring UVM Basics

Verification of Digital Systems, Spring UVM Basics 1 UVM Basics Nagesh Loke ARM Cortex-A Class CPU Verification Lead 1 What to expect This lecture aims to: demonstrate the need for a verification methodology provide an understanding of some of the key

More information

Modeling a MAC Scheduler: Experiences with a DOCSIS Cable

Modeling a MAC Scheduler: Experiences with a DOCSIS Cable Modeling a MAC Scheduler: Experiences with a DOCSIS Cable Network Simulation Model Jim Martin Department of Computer Science Clemson University jim.martin@cs.clemson.edu Phone: 864 656 4529 Fax: 864 656

More information

Internetworking Part 1

Internetworking Part 1 CMPE 344 Computer Networks Spring 2012 Internetworking Part 1 Reading: Peterson and Davie, 3.1 22/03/2012 1 Not all networks are directly connected Limit to how many hosts can be attached Point-to-point:

More information

An Evaluation of the Advantages of Moving from a VHDL to a UVM Testbench by Shaela Rahman, Baker Hughes

An Evaluation of the Advantages of Moving from a VHDL to a UVM Testbench by Shaela Rahman, Baker Hughes An Evaluation of the Advantages of Moving from a VHDL to a UVM Testbench by Shaela Rahman, Baker Hughes FPGA designs are becoming too large to verify by visually checking waveforms, as the functionality

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

Using Time Division Multiplexing to support Real-time Networking on Ethernet

Using Time Division Multiplexing to support Real-time Networking on Ethernet Using Time Division Multiplexing to support Real-time Networking on Ethernet Hariprasad Sampathkumar 25 th January 2005 Master s Thesis Defense Committee Dr. Douglas Niehaus, Chair Dr. Jeremiah James,

More information

VERIFICATION OF RISC-V PROCESSOR USING UVM TESTBENCH

VERIFICATION OF RISC-V PROCESSOR USING UVM TESTBENCH VERIFICATION OF RISC-V PROCESSOR USING UVM TESTBENCH Chevella Anilkumar 1, K Venkateswarlu 2 1.2 ECE Department, JNTU HYDERABAD(INDIA) ABSTRACT RISC-V (pronounced "risk-five") is a new, open, and completely

More information

Today. Last Time. Motivation. CAN Bus. More about CAN. What is CAN?

Today. Last Time. Motivation. CAN Bus. More about CAN. What is CAN? Embedded networks Characteristics Requirements Simple embedded LANs Bit banged SPI I2C LIN Ethernet Last Time CAN Bus Intro Low-level stuff Frame types Arbitration Filtering Higher-level protocols Today

More information

Making the Most of your MATLAB Models to Improve Verification

Making the Most of your MATLAB Models to Improve Verification Making the Most of your MATLAB Models to Improve Verification Verification Futures 2016 Graham Reith Industry Manager: Communications, Electronics & Semiconductors Graham.Reith@mathworks.co.uk 2015 The

More information

XAPP170 May 19, 1999 (Version 1.0) Application Note

XAPP170 May 19, 1999 (Version 1.0) Application Note XAPP170 May 19, 1999 (Version 1.0) Application Note Summary This application note illustrates the use of Spartan devices in an ISDN modem. The design example shows how cost effective a Spartan device can

More information

The Application of SystemC to the Design and Implementation of a High Data Rate Satellite Transceiver

The Application of SystemC to the Design and Implementation of a High Data Rate Satellite Transceiver The Application of SystemC to the Design and Implementation of a High Data Rate Satellite Transceiver The MITRE Corporation Approved for public release. Distribution unlimited. Case #07-0782 Contract No.

More information

Bibliography. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, Practical Software Reuse, Donald J. Reifer, Wiley, 1997.

Bibliography. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, Practical Software Reuse, Donald J. Reifer, Wiley, 1997. Bibliography Books on software reuse: 1. 2. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, 1997. Practical Software Reuse, Donald J. Reifer, Wiley, 1997. Formal specification and verification:

More information

An Introduction to the RapidIO. Bus Functional Models. White Paper

An Introduction to the RapidIO. Bus Functional Models. White Paper White Paper An Introduction to the RapidIO Bus Functional Models By: Sam Fuller, President, RapidIO Trade Association Maria Salieva, RapidIO Team Leader, Motorola Global Software Group The RapidIO Bus

More information

How to Automate A Complete Register. Verification Environment

How to Automate A Complete Register. Verification Environment How to Automate A Complete Register Verification Environment Executive Summary Memory mapped registers provide re-configurability and control to an Intellectual Property Block (IP) or System on Chip design

More information

FlexRay The Hardware View

FlexRay The Hardware View A White Paper Presented by IPextreme FlexRay The Hardware View Stefan Schmechtig / Jens Kjelsbak February 2006 FlexRay is an upcoming networking standard being established to raise the data rate, reliability,

More information

Ultra-Fast NoC Emulation on a Single FPGA

Ultra-Fast NoC Emulation on a Single FPGA The 25 th International Conference on Field-Programmable Logic and Applications (FPL 2015) September 3, 2015 Ultra-Fast NoC Emulation on a Single FPGA Thiem Van Chu, Shimpei Sato, and Kenji Kise Tokyo

More information

Transaction-Based Acceleration Strong Ammunition In Any Verification Arsenal

Transaction-Based Acceleration Strong Ammunition In Any Verification Arsenal Transaction-Based Acceleration Strong Ammunition In Any Verification Arsenal Chandrasekhar Poorna Principal Engineer Broadcom Corp San Jose, CA USA Varun Gupta Sr. Field Applications Engineer Cadence Design

More information

Ethernet Switch. WAN Gateway. Figure 1: Switched LAN Example

Ethernet Switch. WAN Gateway. Figure 1: Switched LAN Example 1 Introduction An Ethernet switch is used to interconnect a number of Ethernet LANs (Local Area Networks), forming a large Ethernet network. Different ports of the switch are connected to different LAN

More information

Master Course Computer Networks IN2097

Master Course Computer Networks IN2097 Chair for Network Architectures and Services Prof. Carle Department of Computer Science TU München Master Course Computer Networks IN2097 Prof. Dr.-Ing. Georg Carle Christian Grothoff, Ph.D. Stephan Günther

More information

Accelerating Networking Products to Market by Lauro Rizzatti, Rizzatti LLC

Accelerating Networking Products to Market by Lauro Rizzatti, Rizzatti LLC Accelerating Networking Products to Market by Lauro Rizzatti, Rizzatti LLC Take a step down the stack beyond optical networks, switches, routers and software-defined networking to consider the networking

More information

ADVANCED DIGITAL IC DESIGN. Digital Verification Basic Concepts

ADVANCED DIGITAL IC DESIGN. Digital Verification Basic Concepts 1 ADVANCED DIGITAL IC DESIGN (SESSION 6) Digital Verification Basic Concepts Need for Verification 2 Exponential increase in the complexity of ASIC implies need for sophisticated verification methods to

More information

Functional Verification of xhci (extensible host controller Interface) for USB 3.1 Using HDL

Functional Verification of xhci (extensible host controller Interface) for USB 3.1 Using HDL Functional Verification of xhci (extensible host controller Interface) for USB 3.1 Using HDL 1 Mr. Dipesh Gehani, 2 Prof. Ketan N. Patel, M.E. Student, Assistant Professor Vishwakarma Government Engineering

More information

Mixed Signal Verification of an FPGA-Embedded DDR3 SDRAM Memory Controller using ADMS

Mixed Signal Verification of an FPGA-Embedded DDR3 SDRAM Memory Controller using ADMS Mixed Signal Verification of an FPGA-Embedded DDR3 SDRAM Memory Controller using ADMS Arch Zaliznyak 1, Malik Kabani 1, John Lam 1, Chong Lee 1, Jay Madiraju 2 1. Altera Corporation 2. Mentor Graphics

More information

Radyne s SkyWire Gateway Quality of Service

Radyne s SkyWire Gateway Quality of Service Radyne s SkyWire Gateway Quality of Service White Paper WP026 Rev 1.0 April 2008 Radyne Corporation 3138 E. Elwood St. Phoenix, AZ 85034 (602) 437-9620 Fax: (602) 437-4811 Radyne s SkyWire Gateway Quality

More information

Multi-core microcontroller design with Cortex-M processors and CoreSight SoC

Multi-core microcontroller design with Cortex-M processors and CoreSight SoC Multi-core microcontroller design with Cortex-M processors and CoreSight SoC Joseph Yiu, ARM Ian Johnson, ARM January 2013 Abstract: While the majority of Cortex -M processor-based microcontrollers are

More information

Design and Verification of Network Router

Design and Verification of Network Router Design and Verification of Network Router 1 G.V.Ravikrishna, 2 M. KiranKumar 1 M.Tech. Scholar, 2 Assistant Professor Department of ECE, ANURAG Group of Institutions, Andhra Pradesh, India 1 gvravikrishna@gmail.com,

More information

NoC Generic Scoreboard VIP by François Cerisier and Mathieu Maisonneuve, Test and Verification Solutions

NoC Generic Scoreboard VIP by François Cerisier and Mathieu Maisonneuve, Test and Verification Solutions NoC Generic Scoreboard VIP by François Cerisier and Mathieu Maisonneuve, Test and Verification Solutions Abstract The increase of SoC complexity with more cores, IPs and other subsystems has led SoC architects

More information

Formal Verification: Not Just for Control Paths

Formal Verification: Not Just for Control Paths Formal Verification: Not Just for Control Paths by Rusty Stuber, Mentor, A Siemens Business Formal property verification is sometimes considered a niche methodology ideal for control path applications.

More information

Cisco Series Internet Router Architecture: Packet Switching

Cisco Series Internet Router Architecture: Packet Switching Cisco 12000 Series Internet Router Architecture: Packet Switching Document ID: 47320 Contents Introduction Prerequisites Requirements Components Used Conventions Background Information Packet Switching:

More information

CSE Verification Plan

CSE Verification Plan CSE 45493-3 Verification Plan 1 Verification Plan This is the specification for the verification effort. It indicates what we are verifying and how we are going to do it! 2 Role of the Verification Plan

More information

InfiniBand SDR, DDR, and QDR Technology Guide

InfiniBand SDR, DDR, and QDR Technology Guide White Paper InfiniBand SDR, DDR, and QDR Technology Guide The InfiniBand standard supports single, double, and quadruple data rate that enables an InfiniBand link to transmit more data. This paper discusses

More information

Jump-Start Software-Driven Hardware Verification with a Verification Framework

Jump-Start Software-Driven Hardware Verification with a Verification Framework Jump-Start Software-Driven Hardware Verification with a Verification Framework Matthew Ballance Mentor Graphics 8005 SW Boeckman Rd Wilsonville, OR 97070 Abstract- Software-driven hardware verification

More information

Galaxy Custom Designer SE The New Choice in Custom Schematic Editing and Simulation Environment

Galaxy Custom Designer SE The New Choice in Custom Schematic Editing and Simulation Environment Datasheet Galaxy Custom Designer SE The New Choice in Custom Schematic Editing and Simulation Environment Overview Galaxy Custom Designer SE is the next-generation choice for schematic entry, enabling

More information

NetSpeed ORION: A New Approach to Design On-chip Interconnects. August 26 th, 2013

NetSpeed ORION: A New Approach to Design On-chip Interconnects. August 26 th, 2013 NetSpeed ORION: A New Approach to Design On-chip Interconnects August 26 th, 2013 INTERCONNECTS BECOMING INCREASINGLY IMPORTANT Growing number of IP cores Average SoCs today have 100+ IPs Mixing and matching

More information

fleximac A MICROSEQUENCER FOR FLEXIBLE PROTOCOL PROCESSING

fleximac A MICROSEQUENCER FOR FLEXIBLE PROTOCOL PROCESSING fleximac A MICROSEQUENCER FOR FLEXIBLE PROTOCOL PROCESSING A Lattice Semiconductor White Paper February 2006 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503)

More information

Efficient Failure Triage with Automated Debug: a Case Study by Sean Safarpour, Evean Qin, and Mustafa Abbas, Vennsa Technologies Inc.

Efficient Failure Triage with Automated Debug: a Case Study by Sean Safarpour, Evean Qin, and Mustafa Abbas, Vennsa Technologies Inc. Efficient Failure Triage with Automated Debug: a Case Study by Sean Safarpour, Evean Qin, and Mustafa Abbas, Vennsa Technologies Inc. Functional debug is a dreadful yet necessary part of today s verification

More information

Advanced FPGA Design Methodologies with Xilinx Vivado

Advanced FPGA Design Methodologies with Xilinx Vivado Advanced FPGA Design Methodologies with Xilinx Vivado Alexander Jäger Computer Architecture Group Heidelberg University, Germany Abstract With shrinking feature sizes in the ASIC manufacturing technology,

More information

An FPGA-Based Optical IOH Architecture for Embedded System

An FPGA-Based Optical IOH Architecture for Embedded System An FPGA-Based Optical IOH Architecture for Embedded System Saravana.S Assistant Professor, Bharath University, Chennai 600073, India Abstract Data traffic has tremendously increased and is still increasing

More information

DESIGN A APPLICATION OF NETWORK-ON-CHIP USING 8-PORT ROUTER

DESIGN A APPLICATION OF NETWORK-ON-CHIP USING 8-PORT ROUTER G MAHESH BABU, et al, Volume 2, Issue 7, PP:, SEPTEMBER 2014. DESIGN A APPLICATION OF NETWORK-ON-CHIP USING 8-PORT ROUTER G.Mahesh Babu 1*, Prof. Ch.Srinivasa Kumar 2* 1. II. M.Tech (VLSI), Dept of ECE,

More information

PowerAware RTL Verification of USB 3.0 IPs by Gayathri SN and Badrinath Ramachandra, L&T Technology Services Limited

PowerAware RTL Verification of USB 3.0 IPs by Gayathri SN and Badrinath Ramachandra, L&T Technology Services Limited PowerAware RTL Verification of USB 3.0 IPs by Gayathri SN and Badrinath Ramachandra, L&T Technology Services Limited INTRODUCTION Power management is a major concern throughout the chip design flow from

More information

Serial RapidIO Protocol Tester

Serial RapidIO Protocol Tester Solutions Datasheet: Investigator for Serial RapidIO Absolute Analysis Investigator Serial RapidIO Protocol Tester Investigator RapidIO provides a comprehensive tool set for validating and debugging devices

More information

CAN protocol enhancement

CAN protocol enhancement Protocols CAN protocol enhancement This article describes the enhanced CAN protocol called CAN-HG and the features of the IC circuitry from Canis that implement it. CAN-HG has been designed to meet two

More information

Design and Verification of FPGA and ASIC Applications Graham Reith MathWorks

Design and Verification of FPGA and ASIC Applications Graham Reith MathWorks Design and Verification of FPGA and ASIC Applications Graham Reith MathWorks 2014 The MathWorks, Inc. 1 Agenda -Based Design for FPGA and ASIC Generating HDL Code from MATLAB and Simulink For prototyping

More information

Generic Model of I/O Module Interface to CPU and Memory Interface to one or more peripherals

Generic Model of I/O Module Interface to CPU and Memory Interface to one or more peripherals William Stallings Computer Organization and Architecture 7 th Edition Chapter 7 Input/Output Input/Output Problems Wide variety of peripherals Delivering different amounts of data At different speeds In

More information

The CoreConnect Bus Architecture

The CoreConnect Bus Architecture The CoreConnect Bus Architecture Recent advances in silicon densities now allow for the integration of numerous functions onto a single silicon chip. With this increased density, peripherals formerly attached

More information

DTNS: a Discrete Time Network Simulator for C/C++ Language Based Digital Hardware Simulations

DTNS: a Discrete Time Network Simulator for C/C++ Language Based Digital Hardware Simulations DTNS: a Discrete Time Network Simulator for C/C++ Language Based Digital Hardware Simulations KIMMO KUUSILINNA, JOUNI RIIHIMÄKI, TIMO HÄMÄLÄINEN, and JUKKA SAARINEN Digital and Computer Systems Laboratory

More information

Why You Should Consider a Hardware Based Protocol Analyzer?

Why You Should Consider a Hardware Based Protocol Analyzer? Why You Should Consider a Hardware Based Protocol Analyzer? Software-only protocol analyzers are limited to accessing network traffic through the utilization of mirroring. While this is the most convenient

More information

ECE 551 System on Chip Design

ECE 551 System on Chip Design ECE 551 System on Chip Design Introducing Bus Communications Garrett S. Rose Fall 2018 Emerging Applications Requirements Data Flow vs. Processing µp µp Mem Bus DRAMC Core 2 Core N Main Bus µp Core 1 SoCs

More information

Configuration Commands. Generic Commands. description XRS Quality of Service Guide Page 125

Configuration Commands. Generic Commands. description XRS Quality of Service Guide Page 125 Configuration Commands Generic Commands description Syntax description description-string no description Context config>qos>shared-queue config>qos>network-queue config>qos>network config>qos>network>ingress>ipv6-criteria>entry

More information

NoC Test-Chip Project: Working Document

NoC Test-Chip Project: Working Document NoC Test-Chip Project: Working Document Michele Petracca, Omar Ahmad, Young Jin Yoon, Frank Zovko, Luca Carloni and Kenneth Shepard I. INTRODUCTION This document describes the low-power high-performance

More information

Portable Stimulus Driven SystemVerilog/UVM verification environment for the verification of a high-capacity Ethernet communication endpoint

Portable Stimulus Driven SystemVerilog/UVM verification environment for the verification of a high-capacity Ethernet communication endpoint Portable Stimulus Driven SystemVerilog/UVM verification environment for the verification of a high-capacity Ethernet communication endpoint Andrei Vintila, AMIQ Consulting, Bucharest, Romania (andrei.vintila@amiq.com)

More information

For packet analysers to work, a packet capture mechanism is also required for intercepting and

For packet analysers to work, a packet capture mechanism is also required for intercepting and Airports Airports one of the busiest places with continuous operations happening round the clock, and one that is heavily dependent on information technology. From ticket reservations, passenger check-in,

More information

INT G bit TCP Offload Engine SOC

INT G bit TCP Offload Engine SOC INT 10011 10 G bit TCP Offload Engine SOC Product brief, features and benefits summary: Highly customizable hardware IP block. Easily portable to ASIC flow, Xilinx/Altera FPGAs or Structured ASIC flow.

More information

IMPROVES. Initial Investment is Low Compared to SoC Performance and Cost Benefits

IMPROVES. Initial Investment is Low Compared to SoC Performance and Cost Benefits NOC INTERCONNECT IMPROVES SOC ECONO CONOMICS Initial Investment is Low Compared to SoC Performance and Cost Benefits A s systems on chip (SoCs) have interconnect, along with its configuration, verification,

More information

Accelerating RTL Simulation Techniques by Lior Grinzaig, Verification Engineer, Marvell Semiconductor Ltd.

Accelerating RTL Simulation Techniques by Lior Grinzaig, Verification Engineer, Marvell Semiconductor Ltd. Accelerating RTL Simulation Techniques by Lior Grinzaig, Verification Engineer, Marvell Semiconductor Ltd. Long simulation run times are a bottleneck in the verification process. A lengthy delay between

More information

Reuse MATLAB Functions and Simulink Models in UVM Environments with Automatic SystemVerilog DPI Component Generation

Reuse MATLAB Functions and Simulink Models in UVM Environments with Automatic SystemVerilog DPI Component Generation Reuse MATLAB Functions and Simulink Models in UVM Environments with Automatic SystemVerilog DPI Component Generation by Tao Jia, HDL Verifier Development Lead, and Jack Erickson, HDL Product Marketing

More information

Verification of Clock Domain Crossing Jitter and Metastability Tolerance using Emulation

Verification of Clock Domain Crossing Jitter and Metastability Tolerance using Emulation Verification of Clock Domain Crossing Jitter and Metastability Tolerance using Emulation Ashish Hari ashish_hari@mentor.com Suresh Krishnamurthy k_suresh@mentor.com Amit Jain amit_jain@mentor.com Yogesh

More information

Overview of Digital Design with Verilog HDL 1

Overview of Digital Design with Verilog HDL 1 Overview of Digital Design with Verilog HDL 1 1.1 Evolution of Computer-Aided Digital Design Digital circuit design has evolved rapidly over the last 25 years. The earliest digital circuits were designed

More information

Reducing the cost of FPGA/ASIC Verification with MATLAB and Simulink

Reducing the cost of FPGA/ASIC Verification with MATLAB and Simulink Reducing the cost of FPGA/ASIC Verification with MATLAB and Simulink Graham Reith Industry Manager Communications, Electronics and Semiconductors MathWorks Graham.Reith@mathworks.co.uk 2015 The MathWorks,

More information

Categorizing Migrations

Categorizing Migrations What to Migrate? Categorizing Migrations A version control repository contains two distinct types of data. The first type of data is the actual content of the directories and files themselves which are

More information

Stacking UVCs Methodology. Revision 1.2

Stacking UVCs Methodology. Revision 1.2 Methodology Revision 1.2 Table of Contents 1 Stacking UVCs Overview... 3 2 References... 3 3 Terms, Definitions, and Abbreviations... 3 4 Stacking UVCs Motivation... 4 5 What is a Stacked UVC... 6 5.1

More information

The Veloce Emulator and its Use for Verification and System Integration of Complex Multi-node SOC Computing System

The Veloce Emulator and its Use for Verification and System Integration of Complex Multi-node SOC Computing System The Veloce Emulator and its Use for Verification and System Integration of Complex Multi-node SOC Computing System Laurent VUILLEMIN Platform Compile Software Manager Emulation Division Agenda What is

More information